Advanced Lithography + Patterning conferences

Find the conference topics that interest you most, such as optical and EUV lithography, innovations in patterning technology advancements, and materials and processes related to semiconductor manufacturing

Featuring six conference topics

Topics range from optical and EUV lithography, patterning technologies, metrology, and process integration for semiconductor manufacturing and adjacent applications. Come hear the latest research at Advanced Lithography + Patterning. We are excited to offer another outstanding program.

Late abstract submissions will be considered until 8 January, 2024. See each conference for more information.

Conferences


  • Optical and EUV Nanolithography
  • DTCO and Computational Patterning
  • Metrology, Inspection, and Process Control
  • Novel Patterning Technologies
  • Advances in Patterning Materials and Processes
  • Advanced Etch Technology and Process Integration for Nanopatterning

Symposium Chairs


Qinghuang Lin

LinkTech International (United States)
2024 Symposium Chair

John Robinson

KLA Corporation (United States)
2024 Symposium Co-chair

Invitation from the Chairs to participate


Share your research at SPIE Advanced Lithography + Patterning. Read the invitation from the Symposium Chairs to learn all the reasons and benefits for being involved in this leading event. 

Learn more

Registration includes 50 SPIE Digital Library downloads


Access the research you need

Presentations and manuscripts presented at Advanced Lithography + Patterning are published in the Proceedings of SPIE on the SPIE Digital Library.