Photomask Technology + EUV Lithography conferences

Important topics for photomask technology and EUVL

Technical conferences at SPIE Photomask Technology + EUV Lithography

SPIE offers the leading multidisciplinary meeting that is focused on global breakthroughs and challenges within photomask technology and EUVL. This conference is where researchers and scientists gather to share latest advances across two conferences.

Download the call PDF for each conference


Adobe PDF icon PM01 PM01 ...
Adobe PDF icon EUV01 EUV01 ...

Photomask Technology

  • Design automation and data prep (DFM, OPC, SMO)
  • Mask write, corrections, process compensation (MPC)
  • Mask blanks, defects, and metrology (materials, process, control)
  • Mask process (resist, develop, etch, cleans)
  • Metrology (CD, placement, AFM, AIMS)
  • Defects and defect control: inspection, repair, verification strategies, pellicles, in fab
  • Simulation and imaging: mask transfer to wafer (LER, SWA, surface roughness)
  • Nanoimprint lithography tools, mask, transfer, and resists
  • Deep learning mask technology applications

Extreme Ultraviolet Lithography

  • EUV readiness and insertion in manufacturing
  • EUV tools, including sources and optics
  • EUV mask metrology, inspection, and lifetime
  • EUV mask and imaging
  • EUV mask pellicles
  • EUV resist materials/process and contamination
  • EUV process control and stochastics
  • EUV patterning and process enhancement
  • EUV lithography extendibility

Photomask Technology Chairs


Seong-Sue Kim

Seoul National Univ. (Republic of Korea)
2024 Photomask Technology Conference Chair

Lawrence S. Melvin

Synopsys, Inc. (United States)
2024 Photomask Technology Conference Co-Chair

International Conference on Extreme Ultraviolet Lithography Chairs


Kurt G. Ronse

imec (Belgium)
2024 EUV Lithography Conference Chair

Eric Hendrickx

imec (Belgium)
2024 EUV Lithography Conference Co-Chair

Patrick P. Naulleau

Lawrence Berkeley National Lab. (United States)
2024 EUV Lithography Conference Chair

Paolo A. Gargini

Stanford Univ. (United States)
2024 EUV Lithography Conference Chair

Toshiro Itani

Osaka Univ. (Japan)
2024 EUV Lithography Conference Chair

Registration includes 50 SPIE Digital Library downloads


Access the research you need

Presentations and manuscripts presented at Photomask Technology + EUV Lithography are published in the Proceedings of SPIE on the SPIE Digital Library.