Proceedings Volume 2254

Photomask and X-Ray Mask Technology

Hideo Yoshihara
cover
Proceedings Volume 2254

Photomask and X-Ray Mask Technology

Hideo Yoshihara
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 3 November 1994
Contents: 11 Sessions, 48 Papers, 0 Presentations
Conference: Photomask Japan '94 1994
Volume Number: 2254

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Mask Fabrication
  • Phase-shift Mask I
  • Masks for X-ray and E-beam I
  • Metrology and Equipment
  • Photomask Process and Materials
  • Phase-shift mask II
  • Masks for X-ray and E-beam II
  • Inspection, Repair, and Metrology
  • Cleaning and Pelliclization
  • Design automation
  • Equipment
Mask Fabrication
icon_mobile_dropdown
Ever-increasing role of mask technology in deep submicron lithography
Fu-Chang Lo, Giang T. Dao, Marc Berube, et al.
The role of mask technology is going through a major change as silicon technology moves into the sub 0.5 micrometers regime and optical lithography continues to be the predominant approach. Several possible options are being considered such as DUV, PSM, oblique illumination, optical proximity correction, or some combination of these methods. In addition to tighter mask requirements, a significant challenge by itself, there are unique mask technology development issues to support each lithography option. Practically speaking, masks for the sub 0.50 micrometers lithography regime are becoming less commodity products and more an integral part of the front end silicon technology development. Mask technology is foreseen to have an increasingly important role in the 0.35 micrometers and 0.25 micrometers technology generations and beyond. In this paper, we will first describe the role of mask technology in the sub 0.5 micrometers lithography regime by examining the technology roadmap, specification requirements, and the mask impact on lithographic performance. Secondly, we will describe the major technical challenges facing mask technology and fabrication. Examples will be given. Lastly, we will suggest how to meet these challenges to satisfy the needs of silicon technology.
Practical method of phase-shifting mask fabrication
Morihisa Hoga, Yasuhiro Koizumi, Fumio Mizuno, et al.
Phase-shifting mask (PSM) fabrication techniques have been investigated in order to use PSMs in the manufacturing of 0.3 micrometers memory devices. Comparison of various PSM methods resulted in selection of the SOG (spin on glass)-on-chrome structure. The alternating-type method is used for the wiring layer and the outrigger-type method is used for the hole layer. New techniques are needed for fabricating the PSMs, and the following technologies have been developed or are under development: (1) e-beam writing and process, (2) SOG process, and (3) inspection and repair. Defect free SOG-on-chrome PSMs are available because SOG process is a low defect density process. PSMs and negative i-line resist have been used to experimentally manufacture 0.35 micrometers memory devices, thus demonstrating that SOG-on- chrome PSMs are currently the most practical for memory device manufacturing.
Masks for 0.25-um lithography
Specifications for photomasks to be used to print 0.25 micrometers structures have to be much tighter than mask specifications for today's most advanced 0.5 micrometers technologies. As optical lithography works closer to its resolution limit, line shortening, increased susceptibility to errors in mask manufacturing, and enhanced printing of mask defects has to be taken into account. Phase shift masks improve the process window of lithography and provide therefore more room for selective mask biasing. However, the additional parameters inherent to particular phase shift mask types (phase shift, transmission uniformity for attenuated phase shift masks, rim uniformity for rim-phase shift masks) contribute to the total error budget and need therefore to be controlled to very tight tolerances, too.
New method of CD control for 64 Mbit-DRAM reticles
Masahiro Uraguchi, Hideaki Hasegawa, Yuhichi Yamamoto, et al.
Using the chemically amplified negative resist SAL-601TM (Shipley Microelectronics LTD) and iterative dry etching, we improved the CD yield of 64 Mbit-DRAM (0.35 micrometers design rule) reticles. SAL-601 features high contrast and high resolution, and iterative etching is a superior method in a dry etching process. To perform iterative dry etching with SAL-601, we needed a more vertical resist profile to measure a chromium pattern under the resist correctly. We had to also prevent deterioration of the resist sensitivity. This paper describes how these problems were solved.
New novolak-based positive EB resist, EBR(reg.)-900 M-1
Mutsuo Kataoka, S. Kanetsuki, Kazutaka Tamura, et al.
For the fabrication of 64 M and 256 MDRAM reticles, EB resists which have both high resolution and excellent dry etch resistance will be required. It is also particularly important to have high dry etch resistance for the manufacture of phase shift masks. For the development of traditional EB resists, organic solvents are typically used. However from the safety standpoint aqueous developers would be preferred. To meet these requirements a new positive type, aqueous developable EB resist, named EBRTM-900 M-1, has been developed. EBRTM-900 M-1 shows resolution down to 0.3 micrometers , good sensitivity, 1.6 - 5 (mu) C/cm2 (10 kV) for practical use, excellent dry etch durability same as positive optical resists, and can be developed by aqueous alkaline developers. This resist is not a chemically amplified type and shows excellent post exposure stability and no delay effect. The sensitivity of the coated film does not change in 50 days and the exposed films shows practically no change for up to 7 days in air and 2 days in vacuum. This resist has high sensitivity, not only for E-Beam, but also for argon-ion laser, 363.8 nm. Field data using production EB exposure systems will be presented. The lithographic properties using a CORE- 2564 scanned laser system, are also studied.
Phase-shift Mask I
icon_mobile_dropdown
Attenuated phase-shift mask blanks with oxide or oxinitride of Cr or MoSi absorptive shifter
Yoshihiro Saito, Susumu Kawada, Tsuneo Yamamoto, et al.
Phase-shift technology has been expected to be a useful method to improve the resolution of optical lithography. The absorptive phase shifter patterns has been formed with a two-layers structure of a SiO2 film to control the phase shifting and a thin Cr film to control the transmittance. If the absorptive shifter of a single-layer film is realized, the fabrication process will become as simple as that of conventional mask. Attenuated single-layer phase shift mask blanks have been proposed instead of the masks of two-layer structure of a Cr/SiO2/substrate. But the attenuated single-layer phase shift mask blanks with shifting angle of 180 degree(s) and with 5 approximately 20% transmittance for the exposure wave length have not been realized on production level. We selected an oxide or an oxi-nitride film of Cr or MoSi as materials for the attenuated single-layer phase shifter. And we have developed to produce the attenuated single-layer phase shift mask blanks which has fabrication capability and chemical durability as a mask material on 5' or 6' quartz substrate. Of course the defects of the attenuated single-layer phase shifter can be repaired with the combination of conventional methods using the focused ion beam and the laser beam. Their thickness are less than a half of two-layer film of conventional masks so that edge shape can be improved at the mask fabrication process. These films are deposited using DC magnetron sputtering process with Cr or MoSi target with Ar + O2 or Ar + O2 + N2 gas. The refractive index (n) and the extinction coefficient (k) of the film are controlled by the deposition condition such as sputtering current, sputtering pressure, oxygen supply, nitrogen supply and so on. Performances are examined such as: (1) variation of transmittance and phase value within a plate, (2) particle and pinhole density, (3) chemical durability, and (4) dry etching rate. It is confirmed that the blanks satisfy the performances of conventional mask blanks level. In this paper, deposition condition and characteristics of the attenuated single-layer phase shift mask blanks will be described.
Attenuated phase-shifting photomasks fabricated from Cr-based embedded shifter blanks
Franklin D. Kalk, Roger H. French, H. Ufuk Alpay, et al.
I-line (365 nm) and G-line (436 nm) attenuated phase shifting photomasks have been developed using single layer Cr-based photoblanks. The absorber layer has a composition gradient that allows the desired transmission to be tuned while maintaining control over reflectivity and phase shift. These photoblanks are manufactured in existing facilities, and masks are processed much like conventional opaque Cr-based materials. They can be inspected and repaired on current equipment with slight modifications. Printing has been demonstrated on current generation steppers. Deep UV extendability of these materials is also being studied, with a 5% Deep UV (248 nm) single layer photoblank chemistry already demonstrated.
Development of novel W/Si materials for the single-layered attenuated phase-shifting mask
Hideaki Mitsui, Hideki Suda, Yoichi Yamaguchi, et al.
A novel material system of metal W corpuscles dispersed in silicon dioxides layer (W/Si film) has been developed for the single-layered attenuated phase-shifting mask (SAttPSM) for i-line. The W/Si film has been proved to have a wide flexibility in designing the optical transmittance and the film thickness by changing the sputtering conditions such as the O2 ratio to the sputtering gas (O2 and Ar) flow rate and the RF power supplied. The W/Si shifter film are also found to have some electric conductivity, which again depends on the sputtering conditions, tough chemical durability against both hard acid and basic solutions, and sufficient adhesion to quartz substrate SAttPSM, fabricated with the W/Si film and having the thickness of 1575 angstroms and the transmittance of 6.3%, showed the phase-shifting angle of 177.9 at i-line wavelength. The depth of focus around 0.35 micrometers hole pattern was widened from 0.6 micrometers to 1.4 micrometers .
Large-area optical design rule checker for logic PSM application
An aerial optical design rule checker (ODRC) that will handle large areas is used to validate the automatic CAD software used for application of alternating Phase Shift Mask technology to logic devices. An automatic alternating aperture layout algorithm developed internally by Advanced Micro Devices is applied to 0.24 to 0.50 micrometers electrical designs. The layout is then verified for different stepper and defocus values by the ODRC which utilizes the simulated aerial image to compare directly to the electrical design database. Entire databases are handled by fracturing the database into optically isolated areas or by using a sliding window technique. Small areas up to 420 um per side can be done with single processor workstations with at least 512 megabyte of memory. Larger problems require multiprocessor computers with at least 16 gigabyte of memory. Full circuit analysis should be done on systems with at least 64 gigabyte of memory in order to accomplish solving the problem in a reasonable time frame.
Masks for X-ray and E-beam I
icon_mobile_dropdown
SR irradiation stability of x-ray mask
Yoshio Yamashita, Hiroshi Okuyama, Kinya Ashikaga, et al.
In this paper we report on the evaluation of the stability of mask materials against SR (synchrotron radiation) irradiation using a SORTEC SR ring. The radiation damage to SiN and SiC mask membranes, a W-based absorber and an SiO2 antireflective coating (ARC) film was examined. In order to evaluate the irradiation damage precisely, irradiation was performed over the entire area of the membrane window or the absorber film under conditions similar to those of the pattern exposure, instead of conventional acceleration damage tests irradiating a small portion of the sample. The large stored current and long beam life (25 H at 500 mA) of the SR ring enabled these irradiation tests. SiN and SiC mask membranes and the stress-free absorber have sufficiently high radiation stability for application to practical uses. In addition, a SiO2 film coated on a SiN mask membrane acts not only as an ARC film but also as a film of reducing pattern displacement induced by the radiation damage of the membrane.
Development of Si frame-mounting x-ray masks
Hitoshi Noguchi, Meguru Kashida, Yoshihiro Kubota
A new type X-ray mask called Si frame-mounting X-ray mask, by using the bonded SOI (Silicon-On-Insulator) technique has been successfully developed. The combination of bonding a flat 2000 micrometers -thickness Si mask-substrate and a 6 mm-thickness Si plate with 0.5 micrometers -thickness SiO2 film, achieved the local flatness less than 0.3 micrometers in a 25 mm square area and 2.5 micrometers or better for a 3'-diameter mask-substrate. The bonding strength of the interface between a Si mask-substrate and a Si frame was over 0.4 kgf/cm2 and showed sufficiently high chemical proof during a mask fabrication process. The SiCN, as an X-ray transmitting film, deposited by an RF magnetron sputtering system also showed substantially good properties. The amorphous SiCN film had quite smooth surface with Ra of 0.4 nm and high elasticity (E/(1-(upsilon) ) equals 3.2 X 1012 dyn/cm2). Furthermore, the SiCN film coated with an anti-reflective (AR) film made of SiO2 had high transparency of 94% for He-Ne laser beam (633 nm). Consequently, it was verified that this Si frame-mounting X-ray mask by using the bonded SOI technique was excellent in all properties for practical use of an X-ray mask.
Printability of organic defects on an x-ray mask
Yasunao Saitoh, Ikuo Okada, Misao Sekimoto, et al.
Programmed organic defects are formed on an x-ray mask, and are printed onto the resist using the synchrotron radiation exposure system. The printed resist image of the mask defects depends on the exposure dose and on the defect's position within the pattern. An isolated defect outside the mask patterns is easily transferred to the resist image by the optimum dose for printing fine patterns. Otherwise, within high density patterns (such as line and space pattern), defects are not transferred to the resist image by the optimum dose, due to the increase in exposure intensity caused by x-ray diffraction from pattern edges. Furthermore, with a 10% over-dose, almost 1-micrometers -thick organic defects did not transfer as defects to the resist image. For 2-micrometers -thick defects, the printability depends mainly on the shape of defect edge: sharp-edged defects on the x-ray mask are easily printed even when using an exposure dose 30% over greater than the optimum dose.
Silicon shaping mask for electron-beam cell projection lithography
Hidetoshi Satoh, Yoshinori Nakayama, Norio Saitou, et al.
A novel silicon shaping mask for electron-beam cell projection lithography has been developed for use in the HL-800D system, a high-throughput electron-beam direct writing system for ULSI device fabrication. This shaping mask has 125 shaping apertures corresponding to the ULSI's cell patterns and 25 square apertures for the conventional VSB method. In fabricating of the shaping masks, advanced ULSI processes are applied. In particular, an SOI wafer contributes to mask thickness uniformity and low-temperature etching with microwave plasma enables the side wall angle to be perpendicular. The fabrication errors for each aperture size are less than 0.25 micrometers . Consequently, the beam size errors on the wafer are less than 0.01 micrometers due to the 1/25 reduction ratio of the HL-800D system. By using the HL-800D cell projection, ULSI patterns corresponding to a 256-Mb DRAM gate level were exposed. As this experimental results, the average value for patterns designed to be 0.20 micrometers is 0.197 micrometers with a standard deviation of 0.013 micrometers .
Metrology and Equipment
icon_mobile_dropdown
Supporting 256-Mb and 1-Gb DRAM mask-making requirements with the Lepton EBES4 e-beam reticle generator
C. M. Rose, Darryl Peters, Herbert A. Waggener, et al.
Mask and reticle writing requirements for 256 Mb and 1 Gb DRAM production will place stringent demands on lithography tool capabilities. The Lepton EBES4 mask writer addresses these requirements by utilizing advanced generation e-beam technology which includes; high speed raster-scan microfigure exposure, vector-addressed major and minor deflection fields, minimum address of 15.6 nm, thermally stable metrology platform, and a high current density, high stability, long lifetime thermal field emitter. Overlay for five 6-inch masks written over a two-week period was <EQ 20 nm for MARKET arrays written in SAL605 resist at 433 MHz. The maximum deviation from an ideal grid was < 25 nm. Butting and shear errors for major (stripe) and minor (subfield) boundaries were <EQ 40 nm (mean +3(sigma) ) for patterns written in SAL605 at 400 MHz in a single pass. Overlay and butting data were not corrected for EBES4 reading precision. Image placement accuracy has been verified by reading MARKET arrays using an LMS2000 system. This level of performance for image placement accuracy, overlay, and butting are consistent with requirements proposed for 256 Mb and 1 Gb DRAM reticles. A description of the EBES4 vector-addressed, raster scanned microfigure writing scheme will be presented along with a description of the present data handling capabilities and a proposed development path to reduce data transfer time and increase throughput. The EBES4 system delivers the required edge placement resolution and accuracy for 256 Mb and 1 Gb DRAM reticles at higher throughputs than either classical raster-scan e-beam or advanced optical technologies.
EB-X1: an accurate x-ray mask writer using a variable-shaped beam
Nobuo Shimazu, Takashi Watanabe, Tetsuo Morosawa, et al.
The EB-X1 is an accurate X-ray mask writer with high-throughput that was developed by modifying one of our EB60 variable-shaped E-beam systems. For high resolution, we developed an electron optical system whose 50-nm beam edge sharpness, a 15 A/cm2 beam current density, and 1.0-micrometers X 0.5-micrometers maximum beam size with an acceleration voltage under 30 keV were determined by proximity-effect Monte Carlo simulation. We adopt a three-pronged approach for accurate pattern placement. First, we improve the beam positioning resolution from 20 nm to 5 nm. Because we suppress mechanical vibration, we can attain a 11-nm standard mark detection accuracy, resulting in a 20-nm compensation accuracy in the beam deflection distortion and a 25-nm field stitching accuracy. Second, our new column with its short beam-path and demagnification image of variable-shaped beam optics can attain a beam position stability within 30 nm over two hours. Finally, the use of an electrostatic chuck to firmly hold the mask-substrate with little holding- deformation and large heat transmission reduces mask-substrate deformation to 23 nm during pattern writing. Experiments confirm the EB-X1 can write a 0.2-micrometers minimum-feature sized pattern, has a pattern placement accuracy of 50 nm (3 (sigma) ) and a high throughput approximately ten times higher than that of a conventional point-beam exposure system. Using optimized correction coefficients for a specific layer, an average pattern placement accuracy of 33 nm (3 (sigma) ) can be achieved. The EB-X1 is now being used in the X-ray mask fabrication process line at NTT LSI Laboratories.
Next generation mask coordinate measuring technology
Taro Ototake, Masaya Iwasaki
As LSI's have become far more highly integrated and the pattern has become much finer, it is demanded in mask production to position the pattern even more accurately. Nikon Corp. currently provides the XY-3i as a mask pattern coordinate measuring machine, but it will not be long before one with even higher accuracy will be required. In this paper, we present two major key technologies to be used for the next-generation coordinate measuring machine. First, we will discuss the problem of coordinate measurement error caused by the flexure of a mask. We will propose a new flexure compensation method based on a concept that is different from what is used for the XY-3i, and report the result of our experiment and simulation to prove that this method is appropriate. Second, we will examine experimentally by what mechanism the temperature fluctuation in the machine may affect the accuracy of measured coordinates, and make clear what will be required to develop the next-generation machine.
Focused ion beams for x-ray mask repair
Diane K. Stewart, Thomas K. Olson, Andrew F. Doyle
To ensure production of functional devices based on X-ray lithography, the masks must be defect free. We have developed a repair process integrated with a focused ion beam (FIB) system such that proximity print X-ray masks with features as small as 0.25 micrometers can be repaired to industry specifications. Inspection data is transferred to the tool, and defects on masks are repaired using this data. We will review the primary technical concerns associated with repair of X-ray masks, and we will discuss design elements of the FIB system which are vital to machine performance. Examples of the inspection-repair cycle will be shown. Finally, we address the ability of the tool to place repairs accurately and reproducibly so that manufacturing specifications can be achieved on proximity print X-ray masks.
Photomask Process and Materials
icon_mobile_dropdown
Development of 0.35-um generation reticles using advanced mask blanks
Yoshiyuki Tanaka, M. Matsuda, Tsuyoshi Tanaka, et al.
The 0.35 micrometers generation of semiconductor technology requires reticles which have CD uniformity of 0.03 micrometers (3 (sigma) ), registration accuracy of 0.05 micrometers (3 (sigma) ) and which are free from defects 0.3 micrometers or smaller in size. The CD and registration targets have been achieved through enhancement of exposure systems and optimization of processes. However, it is essential to improve materials to meet the reduced-defect requirement. In this work the development of advanced mask blanks has contributed to realizing reticles of improved quality. Of particular significance was the reduction in pinhole density 0.5 micrometers or greater in size in mask blanks, by holding dust flying up to the minimum through the use of controlling the ventilation and vent speed of vacuum systems, etc. Formation of contact holes on mask blanks was made easier by improving the surface condition of the chrome oxide layer for making 1.75 micrometers contact holes for the 0.35 micrometers generation. Patterns were formed with reduced edge roughness and vertical walls to improve reticle quality. The advanced mask blanks have made it possible to increase yields and improve quality of 0.35 micrometers generation reticles.
Fabrication and pattern transfer of optical proximity correction (OPC) mask
Emiko Sugiura, Hisashi Watanabe, Tadashi Imoriya, et al.
An application of a mask with serif patterns to a 0.8 micrometers rule mask ROM programming layer is discussed. A serif pattern is the unprinting size pattern added to the corner of original pattern. It suppresses the corner rounding caused by the lack of resolution performance of a lithography exposure system. We aimed to make 1.0 micrometers square pattern with g-line (436 nm). There are some difficulties in application of optical proximity correction (OPC) mask. One of the problems is the optimization of the mask design to be applied to get the appropriate correction effect. We evaluated it by simulation and experiment. The second is the increase in EB data volume. We split mask data into periodic common data with serifs for cell pattern and random ROM code data for programming to compact the data volume. The other is the printability and inspection of mask defects. The OPC mask shows the high printability of defects because of the violation of the mask design. To detect all the printable defects, mask inspection needs high sensitivity. In the inspection with high sensitivity, the extrusion of pattern caused by EB proximity effect becomes to be detected as false defect. To reduce the false defects, we optimized the EB exposure process. In order to use the OPC masks in actual production, mask design for application should be optimized not only in the viewpoint of pattern correction effect but also in the viewpoint of mask fabrication and inspection.
Possibility of real-time proximity effect correction for reticle writing
Proximity effect correction for reticle making is discussed. The algorithm for calculating optimum dose is based on the dose formula method and the representative figure method. Its main feature is that dose evaluation points are fixed at individual small regions whose size is sufficiently small compared with the back scattering range. The time for preparing the representative figures for a 1G DRAM and an 8 M gate array was evaluated as 10 and 1.5 minutes, respectively, when a 4 CPU system with a calculation speed of 100 MIPS was used. On the other hand, the correction time for a 10 X 10 cm reticle area was evaluated as 2 - 3 hours by using a 100 MIPS 1 CPU system. It is considered that real-time proximity effect correction will be possible when a parallel processing system with multiplicity of 4 - 7 is used.
Analysis of mask distortion induced by heating during e-beam writing
Alberto Moel, Yoshio Gomei
The 3D heat diffusion equation was numerically solved to determine the e-beam writing induced local and global heating and the corresponding distortion for optical and x-ray masks. The beam was 50 kV with maximum current I equals 4 (mu) A for optical masks, and I equals 0.3 (mu) A for x-ray masks. Calculations indicate that the distortion due to the localized temperature rise is negligible for both types of masks. The global distortion for optical masks is on the order of 0.04 micrometers (for I equals 4 (mu) A), and on the order of 0.007 micrometers for x-ray masks (for I equals 0.3 (mu) A) for a dose of 10 (mu) C/cm2 under dense-pattern mask writing conditions.
MEBES IV position accuracy improvement on the 5-inch mask
Ki Jong Kim, Kun-Taek Park, Jung K. Oh, et al.
As the wafer process requirements for the high grade devices are getting tighter than before, the requirements for the tighter accuracy of layer to layer among one device is dramatically increased up to the equipment specification itself that the equipment supplier propose thru their own test method. Eventhough the size of photomask is trend to the larger one as 6inch 25Omil and 7inch, still 5inch mask is the major product up to the l6mega memory device. Also, when we consider the required investment in wafer process to set up the large sized mask, the improvement of accuracy in 5inch mask is still realistic issue to the photomask manufacturer. In this paper, we evaluate the MEBES IV accuracy capability thru the acceptance test procedure of EFEC in 5inch mask and compare 5inch result with the 6inch result to clear the accuracy criteria of 5inch mask. Three major factors of position error which we suppose are identified using the factorial design of experiment. And the Ti super cassette is evaluated to clear the effect of cassette.
New mask optimization methodology using exposure-defocus and mask fabrication latitude
Keisuke Tsudaka, Minoru Sugawara, Hiroichi Kawahira, et al.
EDM (Exposure-Defocus and Mask fabrication latitude) methodology has been established in order to evaluate the practical and reliable process latitudes. A parameter of mask linewidth is newly introduced in the EDM methodology as well as the conventional parameters, exposure dose and defocus, because the mask linewidth fluctuation is an important contributor to the image degradation on wafer especially for the patterns close to the resolution limit, where the wafer linewidth is nonlinear to the mask linewidth. In this paper, the algorithm of this methodology is discussed in detail by a general formula, and its feasibility is then verified by simulating 0.4 micrometers isolated contact hole imaging in the cases of binary mask with i-line, i- line/FLEX method or KrF exposure, and attenuated phase shifting mask with i-line exposure. For each application, the practical process latitude can be precisely defined in the 3D space of the exposure dose, defocus and mask linewidth latitude.
Poly(cyclohexyl 2-cyanoacrylate-co-ethoxyethyl 2-cyanoacrylate) as a positive-tone electron beam resist for phase-shift mask fabrication
Akira Tamura, Masaji Yonezawa, Mitsuyoshi Sato, et al.
Poly(cyclohexyl 2-cyanoacrylate) (PCHCA), a positive tone electron beam resist, has both high sensitivity and high dry-etching durability. Therefore, PCHCA has been successfully used for the fabrication of conventional chromium photomasks using dry-etching. However, when PCHCA was applied to the phase-shift mask fabrication, cracks were observed in resist patterns on the SiO2 shifter layer. The cyclic-alkyl group of PCHCA enhances dry-etching durability but causes the cracks in resist patterns. On the other hand, the noncyclic group prevent cracks, but reduces dry-etching durability. However, the copolymer of cyclohexyl 2- cyanoacrylate and ethoxyethyl 2-cyanoacrylate was delineated on SiO2 without generating cracks and reducing dry-etching durability, with high sensitivity. Using this copolymer, the phase-shift masks having SiO2 shifters with vertical walls were fabricated by dry-etching process in short time.
Phase-shift mask II
icon_mobile_dropdown
Manufacturing of half-tone phase-shift masks I: blank
Hiroshi Mohri, Keiji Hashimoto, T. Tominaga, et al.
Half-tone phase shift mask (HT-PSM) blanks for i-line (365 nm) and g-line (436 nm) lithography, using chromium composites as a half-tone shifter, are brought into production. A bilayer structure of a 10 - 20 nm thick opaque, conductive chrome layer and a phase-shifting CrON layer is proposed, which can be formed by continuous deposition of the two layers and etched continuously by the process similar to that of the conventional chrome photomask. It shows low visible light transmission of less than 30% so that it can be inspected, and also shows enough conductivity to decay the excess charge during electron beam writing. HT- PSMs made of these blanks can be cleaned by sulfuric acid at 100 degree(s)C and can be used at least up to an irradiation of 1 MJ/cm2, when used for i-line exposure. The specification for the transmission is (target +/- 1)% for any point on any plate, and 0.7% range for any point on one plate, where the target ranges from 6% to 10%. The specification for the phase shift is currently (180+/- 10) degree(s).
Manufacturing of half-tone phase-shift masks II: writing and process
Hiroyuki Miyashita, Minoru Naitoh, Toshiharu Nishimura, et al.
A half-tone phase shift mask process has been developed. The writing and process for normal masks are found to be applicable to HT-PSMs. A dry etch process has been adopted to etch the shifter. Several characteristics of HT-PSMs such as CD uniformity, CD linearity, edge roughness and corner rounding, positional accuracy in the EB process, selectivity in dry etch, pattern profile, durability against cleaning, pelliclization and a blind pattern are evaluated. The process shows a good performance sufficient for first generation 64 MDRAM mask making. The EB writing causes no charge-up problem. The selectivity of HT-shifter to an i-line resist and an new type EB resist in dry etch is satisfactory and the selectivity to a fused silica substrate is more than 300. An exposure test was conducted with our HT-PSM. Coherency factor ((sigma) ) of a stepper is found to strongly affect the defocus range and exposure latitude.
Manufacturing of half-tone phase-shift masks III: inspection, repair, and quality assurance
Toshifumi Yokoyama, Y. Suzuki, K. Hanzawa, et al.
Half-tone phase shift masks with Cr-based shifters have been developed for i-line lithography. The printability of defects is determined with test marks for the four categories of defects: clear defects (pinholes and intrusions) and opaque defects (pinspots and extrusions) under the conditions that a normal hole of 0.40-micrometers width is formed by an i-line stepper with NA equals 0.57. The detectability with a KLA219HRL-PS and that of a KLA331 are also evaluated. The minimum detectable defect size is found to be smaller than the minimum intolerable defect size for each category. Clear defects are repairable by covering them with carbon patches deposited by a focused-ion-beam repair system. On the basis of the consideration of both the shielding effect and the halo effect of the patch, the deposition conditions are optimized: the thickness is 150 nm and the patch edge should be placed just on the hole edge. Opaque defects are repairable by removing them with a laser repair system without any printable damage. A KLA219HRL-PS is used for inspection both before repair and before shipment. It is confirmed that the well repaired (i.e., unprintable) defects are undetectable. Quality assurance tools are summarized.
Development of practical attenuated phase-shifting mask
Akihiro Ogura, Hiroichi Kawahira, Minoru Sugawara, et al.
A practical attenuated phase-shifting mask (att-PSM) for 0.3 micrometers contact hole patterns with KrF excimer laser lithography has been developed by means of three important module processes which are supported by the precise process latitude evaluation using the EDM (Exposure, Defocus and Mask fabrication latitude) methodology. In order to evaluate the practical and reliable process latitude, the EDM methodology has taken into account the mask linewidth as well as the exposure dose and defocus parameters. From EDM evaluations, it has been found that the background transmittance of more than 9% and the phase error control of within +/- 3 degrees are required in order to obtain 1.5 micrometers depth of focus. The PSM feasibility has been effectively enhanced by three important module processes. First, quartz substrate engraving process for shifter pattern formation with RIE. Second, for the att- PSM inspection, the mask defect printability has been studied extensively, and the feasibility of a commercial PSM defect inspection system has been verified for several types of critical defects. Finally, for shifter protrusion defect repair, the Excess Deposition repair method has been developed with a focused ion beam, where a sufficient repair width latitude of +/- 0.13 micrometers is obtained.
Topography effect in half-tone phase-shift mask for window formation
Shinji Ishida, Yohko Iwabuchi, Tadao Yasuzato, et al.
Three types of half-tone phase-shift masks (shifter overcoated, substrate etched, and monolayer) have been investigated for window pattern formation from the viewpoint of lithographic performance (depth of focus, DOF and window size fidelity). A 0.35 micrometers window pattern was formed by using an NA equals 0.6, (sigma) equals 0.3, i-line stepper onto a bare-Si wafer coated with 1 micrometers thick novolac positive type resist. Mask bias value necessary for 0.35 micrometers window formation depended on shifter structure; 0.05 micrometers in the shifter overcoated, 0.075 micrometers in the substrate etched and 0.025 micrometers in the monolayer. However, the lithographic performance of every half-tone mask was almost the same; 1.6 micrometers wide DOF was obtained in 0.35 micrometers window with these three masks, in comparison with 1.0 micrometers DOF with a conventional mask. This fact indicates that topography effect only works as mask bias; vertical or tapered phase shifter edge reduces pattern size owing to the scattering exposure light. Therefore, mask bias must be adjusted for each mask structure. In conclusion, all mask structures evaluated are available for window formation.
Phase measurement system with transmitted UV light for phase-shifting mask inspection
Haruhiko Kusunose, Hiroyuki Nakae, Junji Miyazaki, et al.
This paper describes a direct phase measurement system with transmitted UV-light for phase shifting mask (PSM) inspection using a shearing interferometer microscope. Measurements were made with 365 nm monochromatic light of mercury arc lamp. The accuracy of this system is sufficient for the application for phase shifting mask inspection. The measurement results are in good agreement with the calculation based on quartz step height measurement and refractive index. Wafer exposure results of attenuating-type PSM also agree with the phase measurement results.
Masks for X-ray and E-beam II
icon_mobile_dropdown
Fabrication of reliable x-ray mask using high-temperature deposited SiN membrane by low-pressure chemical vapor deposition system
Tsuneaki Ohta, R. Kumar, Shuichi Noda, et al.
The SiN membranes were deposited by using high temperature LPCVD system. The SiN films deposited over 1000 degree(s)C showed the suitable properties for X-ray mask, such as well- controlled tensile stress of 5 X 107 Pa, high optical transmittance over 95% and low impurity concentrations. The high optical transmittance of the SiN films deposited over 1000 degree(s)C was related to the high N/Si. The X-ray masks fabricated by using the SiN membranes deposited at 1000 degree(s)C showed the high optical transmittance of about 92% and X-ray durability. The pattern position displacement induced by SR irradiation was simulated using FEM calculation with qualitative agreement.
Optical properties of polycrystalline -SiC membrane for x-ray mask
Tsutomu Shoki, Yoichi Yamaguchi, Noromichi Annaka, et al.
Optical properties of poly-crystalline (beta) -SiC membrane deposited by LPCVD have been investigated in detail. The SiC films have a high refractive index value of 2.63 and a low extinction coefficient (k) of 0.0065 at wavelength of 633 nm. Optical transmittance increased as the SiC surface roughness decreased. Peak transmittance at 633 nm for 1.0 micrometers -thick polished SiC membrane with extremely smooth surface of 2 nm Rmax is limited to 83% due to the absorption of the membrane. The transmittance of the polished SiC membrane has increased up to 86% due to reduction in k after annealing at 1050 degree(s)C. Al2O3 film was the most suitable for the SiC film among anti-reflection (AR) materials studied. The attained transmittance values at 633 nm for the as-deposited, the polished and the annealed SiC membranes of 1.0 micrometers in thickness with Al2O3 AR films were, 83%, 88% and 91%, respectively.
X-ray mask distortion induced by final back-etching process
Tadashi Matsuo, Nobuhiko Fukuhara, F. Noguchi, et al.
X-ray mask distortion can be attributed to the absorber stress, and the fabrication process associated with membrane stress and mask structure. Fabrication process induced distortion is greatly influenced by the sequence of each step, that is e-beam writing, bulk-Si etching (back- etching), and frame mounting. On fabricating x-ray mask, final back-etching process (consisting of e-beam writing onto frame mounted blank, succeeding back-etching) is thought to be comparatively practical and feasible. We evaluated x-ray mask distortion caused by final back-etching step for several masks containing structural features. Simulated results on the basis of elastic theory predicted that absorber pattern making after half back-etching or minimizing the area of tensile stressed membrane reduces the in-plane distortion caused by back-etching step. In addition, the experimentally obtained results demonstrated that pattern pitch (2.5 mm) deviation (3 (sigma) ) was suppressed down to 30 nm, which was within the repeatability of measurement system.
X-ray mask for optical heterodyne alignment
Masanori Suzuki, Mitsuo Fukuda, F. Omata, et al.
An optical heterodyne alignment system used in an SR stepper, the SS-1, is capable of high resolution, but its practical accuracy is affected by multiple reflections between the mask and the wafer. These multiple reflections cause a significant phase modulation when there is an inclination error in the heterodyne optics. In order to attain high alignment accuracy, an opaque film coating and an anti-reflection coating have been applied to an X-ray mask. The multiple reflections between the mask alignment mark and the wafer surface can be reduced by coating chrome films on X-ray mask alignment mark area. The mask distortion of less than 30 nm (3 (sigma) ), caused by forming 500-angstroms thick chrome films on a chip is obtained in the measurement. As a result, an alignment accuracy of 23 nm (3 (sigma) ) is achieved by a double-exposure experiment with the X-ray mask.
Inspection, Repair, and Metrology
icon_mobile_dropdown
Sub-halfmicron lithography mask metrology: matching of the optical and mask system
Mircea V. Dusa, Erik H. Rauch
Subhalfmicron lithography process demands a reevaluation of the traditional mask metrology process. The matching of the optical and the mask system is the key factor of new mask metrology process developed to characterize typical 64 MB lithography masks. The matching of these subsystems represents the CD mask metrology system ability to detect mask process influences upon linewidth measurement results. To simplify the analysis, the effects of the optical system are separated from the effects of the mask system. Optical system effects are: lens NA, illumination technique, focus control. Mask effects are: feature size, feature polarity, proximity location and mask process step. The metric used to characterize the matching of the subsystems is the Depth Response Function (DRF). DRF is the edge contrast of the aerial image versus defocus step. Similar approach was used for optical lithography focus characterization. Characterization of the matching concept in terms of CD results shows that an optical system with transmitted-confocal reflected illumination techniques will produce better than 10 nm 3S repeatability when measures features throughput all mask process steps. An enhanced metrology algorithm was used to control the matching of optical and mask systems for 0.5 micrometers final and 0.8 micrometers rim shifter mask features. System influence upon CD results was reduced to maximum +/- 7 nm with large defocus and illumination variations.
Using the Leitz LMS 2000 for monitoring and improvement of an e-beam
Kaizen--a continuously improving--is a philosophy lived in Japan which is also becoming more and more important in Western companies. To implement this philosophy in the semiconductor industry, a high performance metrology tool is essential to determine the status of production quality periodically. An important prerequisite for statistical process control is the high stability of the metrology tool over several months or years; the tool-induced shift should be as small as possible. The pattern placement metrology tool Leitz LMS 2000 has been used in a major European mask house for several years now to qualify masks within the tightest specifications and to monitor the MEBES III and its cassettes. The mask shop's internal specification for the long term repeatability of the pattern placement metrology tool is 19 nm instead of 42 nm as specified by the supplier of the tool. Then the process capability of the LMS 2000 over 18 months is represented by an average cpk value of 2.8 for orthogonality, 5.2 for x-scaling, and 3.0 for y-scaling. The process capability of the MEBES III and its cassettes was improved in the past years. For instance, 100% of the masks produced with a process tolerance of +/- 200 nm are now within this limit.
Advanced die-to-database reticle machine for 64-Mbit DRAMs
Yair Eran, Gideon Rossman
This report describes the RT-8000 product line of advanced reticle inspection systems for 64 and 256 Mbit DRAMs. The description is given from the system designer point of view. The main issues that are discussed are the methodologies used in the early phase of design and the design guidelines that help to meet the marketing goals. The central subject is the system architecture and its relation to the marketing needs.
Application of conventional defect repair technique to phase-shifting masks
Katsuhiro Takushima, Hideyuki Jinbo, Taro Saito, et al.
We studied phase shifter defect repair techniques which use conventional mask repair tools. This paper describes two repair techniques applicable to phase shifter residue defects on the etch-stop layer of a quartz substrate. These new techniques, which use a conventional Nd:YAG laser and a Focused Ion Beam (FIB) are beam-on-edge and absorber implantation. Beam-on-edge repair removes phase shifter pin-dots by optimally applying an Nd:YAG laser under conventional mask repair conditions. Absorber implantation repair optimizes phase shifter form combining FIB and Nd:YAG laser tools.
Cleaning and Pelliclization
icon_mobile_dropdown
Ultra-clean fabrication techniques
Carl Johnson, Abdu Boudour, Eric T. Chase
Current mask fabrication techniques are at their limit for producing masks which are free of soft defects. To provide a consistently higher quality product, an automated process with integrated inspection for process monitoring and control is required. This paper presents some of the design philosophies required to successfully implement such a system and their application in an available system.
Evolution of pellicles
Naofumi Inoue, Hiroaki Nakagawa, Masahiro Kondou
Along with the higher integration of LSI, an implementation of Pellicle as a dust proof on Mask is much more required. Under steady technological developments, such as shorter wave length KrF Excirner laser or Deep UV, Pellicle auto—mounter, and particle detector, the requirements for Pellicle are changing and becoming much more severe. Therefore, we would like to introduce the current pellicle status and the future emphases regarding three main aspects; particle, light transmission, and light resistance, respectively here under.
Development of the pellicle for KrF excimer laser photolithography
Toru Shirasaki, S. Kawakami, Y. Hamada, et al.
This paper describes development of the pellicle for KrF excimer laser photolithography. The components of KrF excimer pellicle should have high light resistance. Our pellicle membrane consists of amorphous perfluoropolymer. Since this material provides that scattering and absorption of light at deep-UV wavelength region are very small, transmissivity is high at that wavelength and light resistance is strong against KrF excimer laser. Membrane bond and reticle adhesive consist of silicone resin so that the light resistance of them is high. And the bond strength of our pellicle is very high despite the use of fluoro-polymer membrane. This is because the bond consists of silicone resin which contains fluorocarbon-group. Strict particle suppression is required for the excimer pellicle. We have covered the frame with UV resistant fluoropolymer for the purpose to reduce the possibility of particle generation. This treatment has suppressed the particle generation during transportation. Membrane cutting has been achieved by melt-cutting method. The prominency of this method is melting the membrane with heat and cutting it with no contact with pellicle frame, so that the membrane edge becomes smooth and pellicle frame has not been damaged.
Design automation
icon_mobile_dropdown
Data preparation for CORE system in a MEBES environment
Ming-Huei Lin
Early in 1993 a CORE-2564 was installed in Taiwan Mask Corporation (TMC). This was in addition to the two existing MEBES-III systems. We have developed a data preparation system which we call `PRE-CORE' to integrate the CORE system into our existing MEBES-based environment. This PRE-CORE system consists of a high speed workstation and CATS software, supplied by Transcription Enterprises Limited. The system links CORE-2564 and the original data processing computer through the Ethernet network. The data files are converted by the PRE-CORE system into a simpler format for CORE to handle. The time spent in data preparation in the CORE system is significantly reduced, and therefore more time is available for plate-writing. Furthermore, due to this simpler data format, CORE can write masks in precise address units faster than was previously possible, regardless of whether or not the patterns are scaled. This helps produce high quality reticles while at the same time enhancing turn around time. However, in the first stage the TMC lithographic process bias remains the same for both MEBES and CORE plates. In this paper, the PRE-CORE data treatment methodology will be described. The benefits of using PRE-CORE will be compared to results achieved without the pre-processing of PRE-CORE.
Novel defect inspection method for the LSI mask pattern data
Touru Miyauchi, Kenichi Kobayashi, Kazumasa Shigematsu
It is difficult to inspect the accuracy of LSI mask pattern data processed with a data processing system and to decide whether logical operations and sizing have been performed correctly. We devised a method to inspect mask pattern data for reticle patterns. We compared two sets of mask pattern data, each set processed with a different algorithm. We monitored the system to check the design rules between the layers or for a single. To implement this method, we developed and combined two devices, PAVE and PATACON, and set up the New Conversion and Inspection System of Mask Pattern Data.
Equipment
icon_mobile_dropdown
Subresolution artifacts optimized for use with Canon's CQUEST illumination system, and their cost effective realization using the Lepton EBES4 e-beam reticle generator
Charles S. Biechler, C. M. Rose, David M. Walker, et al.
The i-line stepper is the major manufacturing tool for the exposure of critical levels in the 16 Mb DRAM. There is great advantage to extend the resolution of this technology to the 0.35pmregime in order to use it in the manufacture of 64 Mb DRAMs or beyond. The advent of off-axis illumination systems and the use of optical interference patterns on the reticle (either phase shifting or subresolution) have shown great promise in allowing such an extension to practical manufacturing applications. However, the modification of reticles to provide the optical interference can be very costly. Phase shifting levels add complexity to the reticle manufacturing and can introduce defects that are difficult to detect with presently available equipment. While the use of subresolution artifacts alleviate some of these problems, their small size and precise positioning requirements make writing time on presently available reticle generating tools somewhat prohibitive. This paper describes a particular pattern of subresolution artifacts that are effective when used with Canon's CQUEST off-axis illumination system for printing 0.4 pm contact holes and can be efficiently added to reticles using the Lepton EBES4 Reticle Generator.
State-of-the-art material handler for the EBES4 e-beam reticle generator: key contributor to accuracy
H. A. Khoury
As semiconductor device ground rules become more stringent, lithographers are driven to reduce the magnitude of system error budget components to near atomic scale levels. Near term requirements (1995) for semiconductor photomask image placement have already been defined at 25 nm and are presumed to become tighter. Error contributions from both the stage metrology platform and material handling subsystems must be improved to 10 nm, thus allowing the balance of the error budget to be apportioned to the electron-optics and the electronic subsystems. As a result, a new, automated state-of-the-art Material Handling System (MHS) was designed for the Lepton EBES4 E-Beam Reticle Generator. This MHS is capable of handling multiple products such as reticles up to 9 in. (229 mm), x-ray masks, and wafers. Accuracy, required to support future E-beam pattern-writing applications for the production of 256 Mb and 1 Gb DRAM substrates, was the principle objective in its design. Therefore key attributes of the MHS are: (1) Precise substrate positioning with a resolution of (lambda) /120 achieved by means of two high-stability dual linear/angular interferometers combined in a single, compact optical assembly. (2) Stringent thermal management within the vacuum environment via a unique low-pressure (50 torr) gas conduction isotherm mode of action, which represents a new approach for rapid substrate temperature equilibrium to less than +/- 0.02 degree(s)C control. This paper focuses on how the MHS design addresses the minimization of mechanical error contributions to lithographic accuracy. Tests results of numerous critical parameters are presented (aligner/robot-corrected substrate positioning accuracy, temperature equilibration and stability, stage smoothness of motion, and electromagnetic interference). In addition, 3D finite element models are included to demonstrate induced static and random distortions, and dynamic modes of the metrology platform.
Soft-handed vacuum chuck
Atsunobu Une, Masatoshi Oda, F. Omata, et al.
A new soft-handed vacuum chuck has been developed to allow the precise measurement of the placement accuracies of X-ray masks and wafers, which are deformed by stresses in processes, because it is difficult to clamp them without deformation. This chuck is characterized by three vacuum pinpads. The pinpads make it possible to prevent lateral movement and a vacuum seal. Thus, a lateral clamping force bearing an acceleration of 0.3 g and clamping without deformation have been achieved. This chuck was used with a NIKON 3I laser interferometry coordinate-measuring machine allowing the placement accuracies of an X- ray mask and a reticle to be measured with good repeatability at less than 30 nm (3 (sigma) ).
Newly developed mask observation SEM JWS-7800
Kazuhiro Honda, Hiroshi Shimada, S. Norioka, et al.
Scanning electron microscopes (SEMs) are now coming into use as mask observation, metrology and defect inspection tools. Phase shift masks and X-ray masks are fabricated with several thin membranes on a substrate. In order to get 3D information about the membranes' structure, high tilt observation with high resolution is necessary. This paper describes a newly developed mask observation SEM (JWS-7800) which is intended for observation of various types of masks with high resolution, 10 nm at 1 kV acceleration, even at 60 degree(s) tilt angle.
MEBES(reg.) reticle writers for 350-nm and 250-nm design rules
New MEBES reticle writers are described that meet the production requirements of the 350- nm and 250-nm design rules required for 64 Mb and first generation 256 Mb DRAM techniques. These raster scan e-beam systems are based on the MEBES IV thermal field emission (TFE) exposure system, in production use since early 1992. The MEBES IV-TFE system exceeds its 500-nm design rule requirement and is routinely used to product reticles of first-generation 64 Mb DRAMs, prototype 256 Mb DRAMs, and phase shift masks. The success of MEBES IV-TFE is based on a close working relationship with system users, who provided input to establish the requirements of the new reticle writers. The new reticle writers are the result of a two-phase development program. The initial phase, completed in 1993, focused on productivity improvements to the base system, which proved to have excellent accuracy. These improvements ease the handling of the large pattern files, improve the use of the 160 MHz writing rate with a faster data path and more efficient writing strategy, and improve overall system utilization with in situ (maskless) beam-calibration techniques. The second phase of development, completed early in 1994, focused on the production reticle requirements of second-generation 64 Mb DRAM, including optical proximity correction features, and first-generation 256 Mb DRAM. The second development phase improves data path speed, system accuracy, and system productivity. System and subsystem performance is shown for the first and second development phases. Lithographic and write-time performance on the product is presented and discussed in the context of system requirements.