Advanced lithography brings material challenges and solutions

03 March 2023
A slide from Gregory Denbeaux's talk on EUV resist chemical stochastics at 2023 Advanced Lithography + Patterning.
A slide from Gregory Denbeaux's talk on EUV resist chemical stochastics at 2023 Advanced Lithography + Patterning.

A pair of presentations highlighted the challenges posed by advanced lithography — and indicated solutions that could enable higher performing computer chips to be cost-effectively manufactured. Both presentations came on the second day of the 2023 Advanced Lithography + Patterning conference.

Gregory Denbeaux, an associate professor at SUNY Polytechnic Institute, gave the first talk, covering a subject that increasingly dominates EUV lithography: stochastics. Driven by random events, stochastics arise because in the nanometer scale volumes of advanced semiconductor manufacturing there are countable number of photons that deliver energy and a similarly countable number of photosensitive molecules that absorb it.

“You really have to think about these systems as discrete,” Denbeaux said of this quantized reality confronting semiconductor manufacturing.  

The 3D structure of chips on a wafer has millions of billions of these tiny volumes, and so unlikely events, like just a few or perhaps no photons or molecules being present, happen often enough to create fabrication problems, such as lines that bridge, holes that don’t open, or line edge roughness. Denbeaux and his team research these EUV lithography stochastics.

Because of his research, Denbeaux has concluded that line edge roughness is not due to the number of photons varying from one spot to the next. “This is primarily photoresist stochastics,” he stated.

The problem shows up because the different molecules in the photoresist separate, like oil and water do. This segregation happens after the photoresist is applied to the wafer. As the solvent evaporates, the different molecules can clump together. This happens in the drying process before the resist sets into a solid. The longer the resist takes to dry, the greater the molecular clumping.

Denbeaux outlined various investigations of the segregation mechanism, noting that research revealed many ways to make separation worse but uncovered none to make it better. This result could be useful because it provides a way to quickly test new resist formulations, thereby rapidly determining which are the best candidates for further research and development, he said.

Mingqi Li, a technical laureate at DuPont Electronics and Industrial, gave the next presentation, covering the challenges and opportunities in material development for EUV lithography. He noted that high-NA, or 0.55-NA, EUV, which can produce smaller features than today’s 0.33-NA EUV, should enter high-volume manufacturing by 2025. High-NA EUV presents material challenges.

A slide from Mingqi Li's keynote presentation on challenges and opportunities in material development

A slide from Mingqi Li's keynote presentation on challenges and opportunities in material development and understanding that enables advanced photolithography.

For example, chemically amplified resists have been the workhorse of semiconductor photolithography for decades. In these resists, when a molecule absorbs a photon, it releases a microscopic burst of acid. This release, in turn, triggers changes in other nearby molecules, thereby chemically amplifying the photon’s impact.

That amplification mechanism, though, presents a problem when extending the concept to high-NA EUV. The released acid travels out from the absorbing molecule, but this diffusion length varies slightly, a small difference that looms large in a nanometer scale world of advanced semiconductor fabrication.

“Acid diffusion blur in chemically amplified resists is a key barrier,” Li said.

Metal oxide resists are a possible replacement to chemically amplified resists. There is no acid blur with the new resists, and they can create even smaller features. But they are prone to stochastics, leading to roughness and defects. They also are negative tone, which means that they create a negative of a photomask when exposed. Consequently, making a contact hole is difficult.

Beyond photoresists, Li noted that for high-NA EUV researchers need to characterize metals, thin films, and polymers for uniformity in composition across small volumes. These studies must be done of the materials as originally made and after they’re placed during the fabrication process. Manufacturing involves heat, light, mechanical stress, and other sources of energy that can change composition on a very small scale, creating sources for EUV stochastics.

There also are environmental concerns and possible health effects to investigate for new materials. Polymers in particular present a problem because there are tens of thousands of possible combinations of molecules.

“We can’t test all of these,” Li noted.

Thus, there needs to be a quick way to evaluate contenders, thereby offering a fast way to winnow down the list of materials to those that warrant further study, he added. Better yet would be some way to predict toxicity and other characteristics in advance, offering a further evaluation efficiency improvement. Currently, such capabilities are lacking.

At the end of his talk, Li noted that he had listed many challenges. However, he remained hopeful that these problems will be solved.

“A lot of innovations and breakthroughs are needed,” he acknowledged. “But I fully expect them to happen.”

Enjoy this article?
Get similar news in your inbox
Get more stories from SPIE
Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research