Lasers and Moore's Law

Bruno La Fontaine discusses the laser's role in lithography, Moore's Law, and the explosion of capabilities of microelectronics devices. SPIE Professional open-access article.

01 October 2010
Bruno La Fontaine

During the last four decades, society has seen an explosion of the capabilities of microelectronics devices, such as computing power and data storage capacity. This tremendous improvement in performance has had a profound impact on the global economy and almost all areas of human activity.

At the heart of this improvement is the exponential increase in the number of devices on semiconductor chips over time, as described by Moore's Law. The principal factor enabling such scaling has been the relentless progress of lithography.

To achieve a higher density of devices on a microelectronics chip, which leads to faster switching times, larger memory and lower cost, the circuits need be delineated with a finer and finer brush. In optical lithography, the size of this brush, or the resolution, is defined by the following equation:

In this equation, ω is the width of the finest feature that can be resolved in a dense pattern, λ is the wavelength of the light source used, NA is the numerical aperture of the lens used to image the circuit patterns onto the silicon chip, and k1 (known as the k-factor) is a factor describing the ability of the recording process to resolve small features.

While the lens of the lithographic exposure tool dictates the NA, and the photoresist process used largely determines the minimum achievable value of k1, it is the light source that defines the wavelength used. As such, the progression to light sources with shorter and shorter wavelengths is a key driver of the miniaturization of microelectronics devices.

Overall improvements in resolution typically follow this trend: For a given wavelength, the lens NA is increased and the k-factor reduced. Once the cost of increasing the lens NA or reducing the k-factor is deemed excessive, a shorter wavelength is introduced.

Evolution of spectral power for KrF and ArF excimer lasers
Evolution of spectral power for KrF and ArF excimer lasers
End of mercury arc lamps

Initially, mercury arc lamps were used as light sources of lithography exposure tools. Narrowband filters were used to select single emission lines. The g-line (λ = 436 nm) was used first until i-line (λ = 365 nm) lithography was adopted for production in the late 1980s.

Below 365 nm, the choice of optical materials became much more limited and chromatic aberrations could not be compensated as effectively in the lens design. This led to the introduction of excimer lasers, which have a significantly narrower bandwidth than the mercury arc lamps. Krypton-Fluoride (KrF) lasers (λ = 248 nm), introduced in the late '80s as lithography sources, became broadly used in the mid-1990s. Argon-Fluoride (ArF) lasers (λ = 193 nm) were introduced in the late '90s but were used more widely starting at the 90 nm node (around 2003) for patterning critical layers.

Since the introduction of excimer lasers as lithography light sources, their performance has improved greatly in two key areas: power and bandwidth.

The higher power levels enable higher productivity (throughput) while narrower spectral widths reduce chromatic aberration, providing better resolution and larger depth-of-focus. Since their introduction, the spectral power metric (power/bandwidth) of KrF and ArF lasers has increased by 20x and 100x respectively. This trend has required significant development of new technologies to maintain or improve laser component lifetime and to maintain stable operation at higher power levels.

The first KrF lasers for lithography operated at 500 Hz, a power of 5 W, and had a bandwidth of 3 pm. Nowadays a state-of-the-art 193 nm light source, such as the Cymer XLR 600ix, operates at a repetition rate of 6 kHz, a power of up to 90 W, and has an E95 integral bandwidth of less than 0.35 pm over its entire lifetime (See below).

Bandwidth stability over 2 billion pulse gas lifetime
Bandwidth stability over 2 billion pulse gas lifetime. (FWHM: full width at half of the maximum energy; E95: bandwidth containing 95% of the laser energy)

The development and introduction of two-stage Master Oscillator Power Amplifier (MOPA) sources enabled this continued power and the spectral power-scaling required to support higher productivity, high-resolution 193-nm exposure tools. Scaling is enabled by generating the narrow bandwidth light at lower power in one chamber (MO) then amplifying the power in a second chamber (PA) while retaining and stabilizing the spectral characteristics.

Excimer laser developments

Recent excimer laser developments continue to support the race to manufacture circuits of ever-increasing density. With hyper-NA (NA=1.35) immersion lithography being the last ArF-based lithography solution to be used in production, the k-factor is being pushed to its limits and the lithography process control becomes excessively difficult.

The active control of the laser bandwidth allows lithographers to match the critical dimensions (CDs) of various features on their chips, for different exposure tools over time. This is based on the fact that the projected or aerial image of different features has a systematic dependence on the level of chromatic aberrations, which can be adjusted through bandwidth control.

In a similar fashion, the laser can be tuned to larger bandwidth values to provide larger overall depth of focus for specific circuit layouts. This application, known as laser focus drilling, works especially well for 2D features where the contrast of the aerial image is very good at best focus but tends to deteriorate rapidly at out-of-focus conditions. By deliberately introducing a larger spectral width, chromatic aberrations in the lens generate a series of aerial images at a broader range of focus positions, improving the overall depth of focus at a very modest expense of image contrast.

Pushing ArF immersion lithography further requires the use of double-patterning techniques, which is based on more than one exposure per level and potentially reduces the throughput of the lithographic process. ArF lasers with higher power levels are being developed to help maintain a high level of productivity when using double-patterning techniques.

EUV lithography challenges

Although ArF immersion has extended optical lithography beyond expectations through double patterning techniques, it has come at the price of higher process cost, complex restricted design rules, and the explosion of mask costs. EUV lithography offers the promise of a much simpler lithography process (single exposure, large k-factor and greater depth-of-focus).

One of the key challenges of EUV lithography is throughput. Because the reflective optics used for this technology has a finite reflectivity of approximately 70%, the transmission of the light from the source to the wafer, which is typically achieved with more than 11 reflections, is very small. This puts stringent power requirements on the EUV lithography light source.

EUV sources capable of delivering sufficient power to support high-volume manufacturing are achieved using laser-produced plasmas (LPPs). The LPP source uses a pulsed high-power RF-pumped CO2 laser system (>10 kW power) operating at a wavelength of 10.6 µm and repetition rates of typically 50 kHz. The laser beam is focused to a waist diameter of ~100 µm onto tin-droplet targets inside a vacuum vessel. A droplet generator produces droplet sizes of about 30 µm in diameter at the same repetition rate as the laser. The small droplet size minimizes the cost of the tin fuel and improves the effectiveness of debris mitigation by reducing the quantity of residual tin within the source chamber.

First LPP EUV source for use in commercial EUV exposure tool
First LPP EUV source for use in commercial EUV exposure tool. The source is shown at Cymer's facility during its final test sequence.

Droplets are generated at a speed in excess of 60 m/s with inter-droplet timing stability better than 0.2% of the period. Droplet position is controlled with a closed-loop steering system using a feedback signal from targeting cameras in the plasma chamber. When irradiated by the laser, each tin droplet is evaporated, ionized and heated to the optimal temperature at which the plasma produces EUV photons most efficiently.

These photons are collected by a multi-layer coated ellipsoidal collector mirror, covering approximately 5 sr solid angle, and refocused to a point known as the intermediate focus (IF) where they can be used by the lithography exposure tool. Debris mitigation consisting of a hydrogen gas curtain is incorporated to protect the multi-layer coating on the collector from tin deposition and etching due to plasma ions, both of which would result in reflectivity loss and ultimately reduce collection efficiency and power output.

Recently, Cymer has shipped the first LPP EUV source for use in commercial EUV exposure tools to ASML. This EUV source is shown above, without its CO2 drive laser, as it appeared during its final test sequence at Cymer's facility in San Diego, CA.

EUV light reflected by the multi-layer collector, past the intermediate focus (IF) point
EUV light reflected by the multi-layer collector, past the intermediate focus (IF) point.

An image of the EUV light reflected by the multi-layer collector, past the IF point, is also shown above. The raw EUV power produced by this source is currently of the order of 40-50 W and is expected to exceed 100 W before its final integration into the EUV exposure tool to be delivered to semiconductor chip manufacturers. Second- and third-generation sources are planned with clean EUV exposure power levels exceeding 250 W and 350 W, respectively. These are expected to support high-volume manufacturing starting in the 2012-2013 timeframe.

Volume and cost considerations

The need for cost-effective lithography drives high productivity from the lithography exposure tool and high power from the light source, whether it is an excimer laser or an EUV laser-produced plasma source. Excimer laser power and performance has contributed to the outstanding gains in lithography productivity and extendibility over the last two decades. The successful development of current EUV LPP source technology is the beginning of a similar journey from development to high volume manufacturing that will enable the continuation of Moore's Law.


Jain's role in excimer laser lithography

photo of Kanti JainSPIE Fellow Kanti Jain developed excimer laser lithography technology at IBM and published the first paper on the technology at SPIE Microlithography in 1982. His invention is now used worldwide for semiconductor integrated circuit manufacturing.

Jain is professor of electrical and computer engineering at the University of Illinois at Urbana, Champaign and founder and CEO of Anvik Corp., a technology company that designs, develops, and manufactures advanced excimer-laser-based microlithography and photoablation systems for high-throughput production of microelectronic packages, flat-panel displays, printed circuit boards, flexible electronics and displays, and MEMS.

He is the author of the SPIE Press book, Excimer Laser Lithography (1990), and a past member of the Board of Directors of SPIE.

He was elected to the National Academy of Engineering (USA) in 2009 for contributions to the development of high-resolution, deep-ultraviolet excimer lithography for microelectronic fabrication.


Pixel Rate Challenge

A measure of lithography's progress of is given by the growth of the number of pixels that a lithography exposure tool can print per unit area (e.g., in one exposure field). This number is proportional to w-2.

Over the years spanning the introduction of g-line lithography to that of hyper-NA immersion lithography, this number has increased roughly by more than 3 orders of magnitude/

During that time period, the source wavelength progressed from 436 nm down to 193 nm and now to 13.5 nm; the NA grew from ~0.28 to 1.35; and the k-factor was reduced from ~1 down to ~0.2 (including recent progress in double patterning).

By enabling lithography at shorter actinic wavelengths, lasers have contributed significantly to the increase in lithographic pixel density (enabling greater density of devices on a chip). From i-line lithography to ArF lithography, the source wavelength reduction led to an approximate pixel density gain of 3.6x. Going to EUV lithography, the wavelength change should contribute more than a 10x overall increase in pixel density.

The challenge will be to maintain the rate at which these pixels can be written.


Advancing the Laser: 50 Years and into the Future

Advancing the Laser 50th anniversaryThe SPIE Advancing the Laser tribute presents open-access publications, events for technical professionals, video interviews with laser luminaries, and a virtual museum of vintage and new laser devices.

SPIE is also a founding partner and sponsor of LaserFest, which provides information and outreach activities for the general public.

SPIE Professional is a media partner of both tributes. Find out more about the celebration.

OPEN ACCESS: As part of the industry-wide celebration of the laser's 50th anniversary, this article is open-access to the general community. To read the full text of other feature articles inside SPIE Professional, please use your SPIE member login.


photo of Bruno LaFontaineSPIE Fellow Bruno La Fontaine is senior director of EUV lithography applications at Cymer and chair of the EUV Lithography conference at SPIE Advanced Lithography

The author acknowledges the contributions of Nigel Farrar, Daniel Brown, and David Brandt of Cymer.

Do you have a question or comment about this article? Write to us at spieprofessional@spie.org.

Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research