Past Event Overview

Learn more about SPIE Advanced Lithography 2013:

Read Onsite News

2013 Technical Program (PDF 4.35 MB)

2013 Exhibition Guide (PDF 3.7 MB)

Presentations in seven conferences:
 • Advanced Etch Technology for Nanopatterning
 • Extreme Ultraviolet (EUV) Lithography
 • Alternative Lithographic Technologies
 • Metrology, Inspection, and Process Control for Microlithography
 • Advances in Resist Materials and Processing Technology
 • Optical Microlithography
 • Design for Manufacturability through Design-Process Integration
2013 PLENARY SPEAKERS
 

Contact Printing to EUV: Lessons Learned from the Art of Lithography

Bill Siegle
Independent Consultant and ASML Advisory Board Member

 

The Evolution of EDA Alongside Rapid Silicon Technology Innovation

Howard Ko
Senior VP and General Manager, Synopsys Silicon Engineering Group

 

The New U.S. Patent Law: What You Need to Know and How it Will Affect your Strategy

Charles R. Szmanda
The Patent Practice of Szmanda & Shelnut, LLC


Plenaries sponsored by:
Special Events
 • Poster receptions
 • Panel discussion - "Alternative Lithographic Technologies"
 • Panel discussion - "Making a Business Case for Disruptive Metrology Technologies: What Should We Invest In"
The Advanced Lithography Exhibition
Come meet the industry's top semiconductor suppliers, integrators, and manufacturers. For 35 years SPIE Advanced Lithography has been the premier international event that drives the future of lithography research and applications and the exhibition is where you can see the latest products and meet with the leaders in the field.