An invitation to participate in SPIE Advanced Lithography + Patterning

We're looking forward to seeing you in San Jose in February
Happy attendees at SPIE Advanced Lithography +Patterning

Invitation to participate in SPIE Advanced Lithography + Patterning


SPIE Advanced Lithography and Patterning symposium has been the premier conference on lithography and patterning in the semiconductor industry since 1976. It offers a forum to exchange technical advances and to showcase products in semiconductor lithography, patterning and related technologies for the worldwide practitioners of semiconductor technology and manufacturing with a focus on industrial applications. SPIE Advanced Lithography and Patterning covers the entire value-chain in semiconductor lithography and patterning, including circuit design, materials, masks, lithography and etch tools, processes, computational lithography, metrology, testing and yield improvement as well as emerging fields of novel patterning, artificial intelligence (machine learning, deep learning), augmented reality and virtual reality (AR/VR), and sustainability.

The recent great global semiconductor chip shortage highlighted the essential role of the semiconductor industry to almost every aspect of the economy, human health, national security, and quality of life of billions of global citizens. Advanced semiconductor chips are also the foundation of new innovations such as generative artificial intelligence. The remarkable historic progress of packing more transistors per chip with ever decreasing cost per transistor has been fueled by continual technological advances in lithography and patterning. These technological advances have been made possible by the ingenuity, dedication and hard work of engineers and scientists around the world.  They are also a testament of the unparalleled open global collaborations throughout the entire ecosystem in the semiconductor industry. SPIE Advanced Lithography and Patterning aims to provide a venue to enable such open and inclusive global collaborations and to provide a platform to facilitate product showcase, business engagements and professional networking in semiconductor lithography, patterning and related technologies.

SPIE Advanced Lithography and Patterning 2024 will cover the full spectrum of challenges and advances in the state-of-the-art lithography and patterning technology through six topical conferences. Advances in semiconductor nano- and micro-patterning will be addressed in sessions covering optical lithography, extreme ultraviolet (EUV) lithography, computational patterning, metrology/inspection, patterning materials, etch/films/deposition technology, and System-Design-Technology co-optimization. As novel patterning and other related technologies, such as 3D heterogenous integration, IoT devices such as MEMS and sensors, AR/VR devices, flat-panel displays, have become more widely explored, related topics in these areas will also be addressed.  

SPIE Advanced Lithography and Patterning consists of six distinct conferences. They are organized by experts and current practitioners of the art in their respective fields.

  • Optical and EUV Nanolithography
  • DTCO and Computational Patterning
  • Metrology, Inspection, and Process Control
  • Novel Patterning Technologies
  • Advances in Patterning Materials and Processes
  • Advanced Etch Technology and Process Integration for Nanopatterning

Joint sessions between the conferences will be included along with five (5) predefined tropical tracks: (1) artificial intelligence and machine learning (AI/ML), (2) stochastics, (3) Edge Placement Error (EPE) /overlay, (4) holistic patterning, and (5) sustainability. These topical tracks will offer attendees the opportunity to cover important common topics across different conferences and to minimize presentation overlap.

In addition to the six (6) conferences, SPIE Advanced Lithography and Patterning 2024 will offer symposium plenary session(s) where executives, innovators and thought leaders will share grand challenges, major inflection points, industry trends and technology roadmaps. It will also host panel sessions where movers and shakers in the field will discuss and debate important topics of interest to the lithography and patterning community in particular and the semiconductor industry in general.

Moreover, SPIE Advanced Lithography and Patterning 2024 will offer a series of short courses developed and taught by some of the most respected experts in the field provide an excellent forum for newcomers to the field as well as seasoned experts looking to expand their knowledge base. Details of these short courses and other topics can be found online closer to the time of the symposium.

We welcome your contribution to and participation in SPIE Advanced Lithography and Patterning and urge you to submit abstracts to the appropriate conferences as described in the individual calls for papers and encourage your colleagues to do the same. 

SPIE Advanced Lithography and Patterning recognizes the importance of fostering new generations of innovative and strongly skilled lithographers and patterning engineers for the development of electronic and photonic devices and systems technology to advance the digital age. We have developed and will continue a student grant program to attract students to contribute to and to attend SPIE Advanced Lithography and Patterning. We also established a student mentoring program to connect industry technology leaders with students to guide the students in exploring and planning to succeed in the semiconductor industry. We would like to encourage students to participate in this exciting and rewarding program.

We welcome you to contribute, to connect, to learn, to grow and to prosper together through SPIE Advanced Lithography and Patterning.

2024 symposium chairs


Qinghuang Lin

LinkTech International (United States)
2024 Symposium Chair

John Robinson

KLA (United States)
2024 Symposium Co-chair