Photomask Technology speaker says EUV is nearly production-ready

ASML CTO tells mask-making community that EUV source technology is ready for introduction at 10-nanometer node

02 October 2014

Thumbs up, indeed -- Photomask Technology 2014 was a high-energy, productive event.

 

Martin van den Brink, ASML
Keynote speaker Martin van den Brink

MONTEREY, California, and BELLINGHAM, Washington, USA — Highlights at the recent SPIE Photomask Technology 2014 conference included a confident announcement from ASML about current EUV source capabilities, an insightful industry-expert panel discussion on mask-making complexities, and fresh energy from the co-located SPIE Scanning Microscopies conference.
The event ran 16-18 September at the Monterey Conference Center and Monterey Marriott, and was sponsored by SPIE, the international society for optics and photonics.

In an attention-grabbing announcement, keynote speaker Martin van den Brink, President and CTO of ASML, said that extreme ultraviolet (EUV) source technology is reaching performance levels that enable introduction into production lines in select cases at the 10-nanometer node, and that progress is such that it should soon be ready for full-scale introduction at the 7-nanometer node.

The announcement was important because significant customers have recently criticized ASML for being late on development of EUV technology, which is intended to enable the next generation of computer-chip manufacturing, and have been experimenting with potential alternatives.

Van den Brink’s talk detailed ASML’s steady and substantial progress over the past several months improving the technology for eventual scale-up in semiconductor manufacturing.

The challenge, he said, is implementing affordable scaling to create lower cost and improved performance. That can be achieved through holistic lithography immersion driving productivity and yield with multiple patterning, and with EUV technology driving productivity and improving operational cost to enable 2D patterning and simpler processing, van den Brink said.

During the meeting, Jim Wiley, EUV Infrastructure Executive Strategist at ASML, was presented with the 2014 Photomask Lifetime Achievement Award in recognition of contributions to the photomask industry, particularly in the area of photomask defect characterization, printability, and publication He is a longtime supporting member of the Bay Area Chrome Users Society (BACUS) since its founding days and has served in many leadership roles.

Dan Meisburger of Tec-Start Consulting was awarded the 2014 BACUS Prize in recognition of his work and influence in the development of the high-speed electron beam mask inspection system.

Linda He Yi of the Nanoelectronics Lab in the Department of Electrical Engineering at Stanford University was awarded the 2014 BACUS Scholarship. Working in applications of block copolymer directed self-assembly, she has more than 15 publications and collaborations with ASML, GlobalFoundries, and Applied Materials.

Symposium cochair Naoya Hayashi

A two-day exhibition included top industry suppliers showing products and systems using technology such as e-beam lithography, EUV, direct laser writing, resists, optical/laser microlithography, and electronic hardware and software.

Photomask Technology this year included more than 70 presentations on mask making, EUV, 9-inch glass, emerging mask technologies, mask business, and related topics. Paul Ackmann (GlobalFoundries) was symposium chair, and Naoya Hayashi (Dai Nippon Printing) was symposium cochair.

Scanning Microscopies brought approximately 50 more presentations to the conference, in areas such as nanomaterials, optical and particle beam, scanned probe, and imaging. Symposium chairs were Michael Postek and Dale Newbury (National Institute of Standards and Technology), Frank Platek (U.S. Food and Drug Administration), and Tim Maugel (University of Maryland, College Park).

Conference proceedings are being published online in the SPIE Digital Library as manuscripts are approved, with CD and print publication to follow when all manuscripts are published.

SPIE is the international society for optics and photonics, a not-for-profit organization founded in 1955 to advance light-based technologies. The Society serves nearly 256,000 constituents from approximately 155 countries, offering conferences, continuing education, books, journals, and a digital library in support of interdisciplinary information exchange, professional networking, and patent precedent. SPIE provided more than $3.2 million in support of education and outreach programs in 2013.


 

Larry Zurbrick, Jim Wiley, Frank Abboud

Jim Wiley (ASML), center, is congratuled on winning the 2014 Photomask Lifetime Achievement Award by Larry Zurbrick (Agilent Technologies), at left, and Frank Abboud (Intel Corp.).

Wilbert Odisho, Dan Meisburger, Frank Abboud

Dan Meisburger (Tec-Start Consulting), center, is congratulated on winning the 2014 BACUS Prize by Wilbert Odisho (KLA-Tencor), at left, and Frank Abboud (Intel Corp.).


 

Photomask Technology 2014

Photomask 2014 provided many opportunities for networking.


 

Photomask Technology 2014 plenary audience

Keynote speaker Martin van den Brink of ASML drew a large audience.


 

A panel discussion Tuesday evening considered "Mask Complexity: How to Solve the Issues?"


 

Photomask Technology 2014 exhibition

The two-day exhibition brought industry suppliers and customers face to face.


 

The Photomask reception was, as always, a well-enjoyed evening.


 

Members of the Photmask
Technology and Advanced
Lithography community
around the world were
saddened to hear of the
death of Oliver Kienzle,
CEO and Head of the
Strategic Business Unit
Semiconductor Metrology
Systems at Carl Zeiss SMS
GmbH, shortly after
Photomask Technology 2014.
Dr. Kienzle died in Germany
on 27 September.

 

The sun rises on a peaceful morning in Monterey.


 

###

Contact:
Public Relations Manager
amy@spie.org
+1 360 685 5478
@SPIEtweets