Proceedings Volume 9422

Extreme Ultraviolet (EUV) Lithography VI

cover
Proceedings Volume 9422

Extreme Ultraviolet (EUV) Lithography VI

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 23 April 2015
Contents: 15 Sessions, 88 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2015
Volume Number: 9422

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 9422
  • EUV Resist Extendability: Joint Session with Conferences 9422 and 9425
  • EUV Resist Mechanistic Studies: Joint Session with Conferences 9422 and 9425
  • EUV Source
  • EUV Mask Structure
  • EUV Resists
  • EUV Integration
  • Mask Topography: Joint Session with Conferences 9422 and 9426
  • Resist Outgas Testing
  • EUV Optics and Mask Metrology
  • EUV Mask Inspection
  • EUV Extension
  • EUV Manufacturing
  • Exposure Tools
  • Poster Session
Front Matter: Volume 9422
icon_mobile_dropdown
Front Matter: Volume 9422
This PDF file contains the front matter associated with SPIE Proceedings Volume 9422 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.
EUV Resist Extendability: Joint Session with Conferences 9422 and 9425
icon_mobile_dropdown
Toward 10nm half-pitch in EUV lithography: results on resist screening and pattern collapse mitigation techniques
Extreme ultraviolet lithography (EUVL) is considered to be the most promising option to continue with the aggressive scaling required in high-volume manufacturing (HVM) of integrated circuits. One of the main challenges, however, is the development of EUV resists that fulfill the strict sensitivity, resolution, and line-edge roughness specifications of future nodes. Here, we present our EUV resist screening results of a wide range of EUV resists in their developmental phase from our collaborators from around the world. Furthermore, we have carried out extensive experiments to improve the processing parameters of the resists as well as to identify the optimal wafer pre-treatment methods in order to optimize the adhesion of the resist to the substrate. We show that even though significant improvements in performance of chemically amplified resists have been achieved, pattern collapse is still the major process-limiting factor as the resolution decreases below 14 nm half-pitch (HP).
Extending resolution limits of EUV resist materials
Extreme ultraviolet lithography (EUVL) technology continues to progress and remains a viable candidate for next generation lithography1, which drives the need for EUV resists capable of high resolution with high sensitivity and low LWR. While chemically amplified resists (CARs) have demonstrated the ability to pattern 12nm half-pitch features2, pattern collapse continues to limit their ultimate resolution. We have taken multiple approaches to extend resist capabilities past these limits. Recent results in pattern collapse mitigation using a resist encapsulation and etch back strategy will be discussed. We continue to investigate EUV patterning of semi-inorganic resists to simultaneously increase EUV photon absorption and extend mechanical strength beyond CAR capabilities. The limitations of metal oxide-based nanoparticle photoresists have been investigated, and have provided key insights to further understanding the mechanism of this class of materials.
Relationship between information and energy carried by extreme-ultraviolet photons: consideration from the viewpoint of sensitivity enhancement
Takahiro Kozawa, Shinya Fujii, Julius Joseph Santillan, et al.
The role of photons in lithography is the transfer of information and energy. The resist patterns are fabricated in accordance with the information carried by photons. The energy is used to induce the chemical reactions required for the solubility change of the resist. In this study, the relationship between information and energy carried by photons was investigated. Which of the factors limits the resist performance depends on the relationship between requirement and resist performance. In the design of next generation resist materials, it is important to determine which is insufficient, information or energy.
New developments in ligand-stabilized metal oxide nanoparticle photoresists for EUV lithography
The introduction of EUV lithography to manufacturing requires the development of both new EUV exposure tools and photoresists. The main challenges for photoresists are to achieve high resolution, and low roughness patterning at very high sensitivity given the limited intensity of current sources. A new class of photoresist formed from ligand-stabilized metal oxide nanoparticles shows extraordinary sensitivity for EUV lithography. These nanoparticles are processed in traditional organic solvents for both deposition and development as negative tone resist; positive tone images are possible if the aqueous base developer is used in addition to a post-exposure bake step. This paper presents new developments in the study of ligand-stabilized nanoparticle photoresists for EUV lithography. It is our current understanding that a key aspect of the solubility change of these photoresists during exposure involves ligand displacement by anions generated from photoactive compounds such as sulfonic acid photoacid generators. Both positive and negative tone patterning are possible and depend on thermal treatment history and choice of developer. On the basis of a non-chemically amplified ligand exchange mechanism, new resist structures were created. Both aromatic and aliphatic carboxylic acids with different functional groups have been studied in the formation of the nanoparticles and include dimethylacrylic acid, isobutyric acid, toluic acid. It has been shown that those nanoparticles with higher binding affinity ligands show better resolution and line edge roughness under EUV exposure. Some formulations demonstrate EUV sensitivity as high as 1.4 mJ/cm2, while other formulations demonstrate that improved LER values of 3-5nm. The overall resolution, sensitivity and roughness tradeoff has been evaluated and provides an understanding of structure - property relationships. In this paper, we also discuss major efforts on the further understanding of the patterning mechanism. By testing the dissolution rate and plotting it in Hansen interaction triangles, we can compare the differences between different formulations and choose a suitable developer for each formulation. We also used the dissolution rate study to confirm the important role of PAG and ligand exchange for pattern formation. In addition, aspects of the EHS properties of these new photoresists have been investigated and will be discussed.
EUV Resist Mechanistic Studies: Joint Session with Conferences 9422 and 9425
icon_mobile_dropdown
Studying secondary electron behavior in EUV resists using experimentation and modeling
Amrit Narasimhan, Steven Grzeskowiak, Bharath Srivats, et al.
EUV photons expose photoresists by complex interactions starting with photoionization that create primary electrons (~80 eV), followed by ionization steps that create secondary electrons (10-60 eV). Ultimately, these lower energy electrons interact with specific molecules in the resist that cause the chemical reactions which are responsible for changes in solubility. The mechanisms by which these electrons interact with resist components are key to optimizing the performance of EUV resists. An electron exposure chamber was built to probe the behavior of electrons within photoresists. Upon exposure and development of a photoresist to an electron gun, ellipsometry was used to identify the dependence of electron penetration depth and number of reactions on dose and energy. Additionally, our group has updated a robust software that uses first-principles based Monte Carlo model called “LESiS”, to track secondary electron production, penetration depth, and reaction mechanisms within materials-defined environments. LESiS was used to model the thickness loss experiments to validate its performance with respect to simulated electron penetration depths to inform future modeling work.
Analysis of shot noise limitations due to absorption count in EUV resists
Suchit Bhattarai, Weilun Chao, Shaul Aloni, et al.
Both fundamental measurements of resist exposure events and measurements of line-edge roughness for similar exposure latitude images for e-beam and EUV patterning tools have been used to assess the relative role of exposure shot-noise in lithographic performance. Electron energy loss spectroscopy (EELS) has been performed to quantify the probability of absorption of 100 keV electrons in two commercially available EUV resists. About 1/3 of the incident electrons lose at least 2 eV in the materials and this absorption probability is larger than that for EUV photons in the two modern EUV resists. Exposure event count densities between EUV and e-beam differ by 11-13%, which results in an expected difference in the variation in exposure shot noise of only 6%. With matched image exposure latitudes and accounting for EUV mask LER contribution the measured LER distributions indicate a high (76% and 94%) confidence that EUV resist performance is currently not dominated by exposure event counts for two leading chemically amplified EUV resists.
Low-energy electron (0-100eV) interaction with resists using LEEM
A. Thete, D. Geelen, S. Wuister, et al.
Extreme Ultra Violet (EUV) lithography is a next generation lithographic technique using 13.5 nm wavelength light (91.7eV photon energy) to define sub-20 nm features. This high energy radiation generates lower energy electrons (LEEs) after being absorbed. The mean free path of LEEs increases rapidly below ca. 30 eV allowing them to migrate several nanometers from their point of origin. As LEEs can still have sufficient energy to react with the surrounding resist, this may give rise to pattern blurring, posing a challenge for sub 10 nm features. Here, we introduce Low Energy Electron Microscopy (LEEM) as an extremely useful technique to investigate the interactions of LEEs with EUV resists. Using LEEM we can expose the resist with precise electron energies and doses. We also report the initial results of LEE exposures on poly(methyl methacrylate) PMMA. We have studied the LEE-PMMA interaction depth as a function of electron energy; a distinct exposure threshold is found at ~15 eV, below which the resist responds only very weakly to electron exposure.
EUV Source
icon_mobile_dropdown
Performance optimization of MOPA pre-pulse LPP light source
This paper describes the development and evolution of the critical architecture for a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing (HVM). In this paper we discuss the most recent results from high power sources in the field and testing on our laboratory based development systems, and describe the requirements and technical challenges related to successful implementation of those technologies on production sources. System performance is shown, focusing on pre-pulse operation with high conversion efficiency (CE) and with dose control to ensure high die yield. Finally, experimental results evaluating technologies for generating stable EUV power output for a high volume manufacturing (HVM) LPP source will be reviewed.
Performance of one hundred watt HVM LPP-EUV source
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - “GL200E”. This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system.

We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.
Considerations for a free-electron laser-based extreme-ultraviolet lithography program
Erik R. Hosler, Obert R. Wood II, William A. Barletta, et al.
Recent years have seen great strides in the development of extreme ultraviolet (EUV) laser-produced plasma sources. Field deployed EUV exposure tools are now capable of facilitating advanced technology node development. Nevertheless, as the required manufacturing exposure dose scales, EUV sources must follow suit and provide 500- 1000 W to maintain production throughputs. A free-electron laser (FEL) offers a cost effective, single-source alternative for powering an entire EUV lithography program. FEL integration into semiconductor fab architecture will require both unique facility considerations as well as a paradigm shift in lithography operations. Critical accelerator configurations relating to energy recovery, multi-turn acceleration, and operational mode are discussed from engineering/scientific, cost-minimization, and safety perspectives. Furthermore, the individual components of a FEL (electron injector, RF systems, undulator, etc.) are examined with respect to both design and cost, considering existing technology as well as prospective innovations. Finally, FEL development and deployment roadmaps are presented, focusing on manufacturer deployment for the 5 nm or 3 nm technology nodes.[1-3]
Sub-aperture EUV collector with dual-wavelength spectral purity filter
Torsten Feigl, Marco Perske, Hagen Pauer, et al.
The combination of a 10.6 μm main pulse CO2 laser and a 1064 nm pre-pulse Nd:YAG laser in EUV source concepts for HVM would require collector mirrors with an integrated spectral purity filter that suppresses both laser wavelengths. This paper discusses a new approach of a dual-wavelength spectral purity filter to suppress 10.6 μm and 1064 nm IR radiation at the same time. The dual-wavelength spectral purity filter combines two binary phase gratings that are optimized for 10.6 μm and 1064 nm, respectively. The dual phase grating structure has been realized on spherical sub-aperture EUV collector mirrors having an outer diameter of 150 mm. IR suppression factors of 260 at 10.6 μm and 620 at 1064 nm have been measured on the sub-aperture EUV collector while its EUV reflectance exceeded 64 % at 13.5 nm.
High-radiance LDP source for mask-inspection application
Yusuke Teramoto, Bárbara Santos, Guido Mertens, et al.
Actinic mask inspection manufactures are currently searching for high-radiance EUV sources for their tools. LDP source, which was previously used for lithography purposes, was found to be a good candidate as it can provide sufficient power and radiance. Introduction of new techniques, modified modules and fine tuning of operational conditions (discharge pulse energy, discharge frequency, laser) has brought radiance level to 180 W/mm2/sr at plasma or 145 W/mm2/sr as clean-photon. The source has been modified in such a way to improve modules reliability, lifetime and radiance stability even though there is still a room for further improvement. Size of the source system is much smaller than that of the lithography source. A debris mitigation system has been tested. Optical transmission was improved to 77 % and several 8-nm-thick Ru samples were exposed to evaluate contamination and erosion of optics. Preliminary results show low sputter and deposition rates, which supports sufficiently long lifetime of the optics.
Optimum pre-pulsing and target geometry of LPP for efficient EUV and BEUV sources
Tatyana Sizyuk, Ahmed Hassanein
Light sources for extreme ultraviolet Lithography (EUVL) are continued to face challenges in the demanding performance for high volume manufacture. Currently EUV and beyond EUV (BEUV) community are focused on the dual-pulse laser produced plasma (LPP) using droplets of mass-limited targets. These systems require extensive optimization to enhance the conversion efficiency (CE) and increase components lifetime that requires significant experimental and development efforts. We continued to enhance our comprehensive HEIGHTS simulation package and upgrade our CMUXE laboratories to study and optimize LPP sources and to make projections and realistic predictions of near future powerful devices. HEIGHTS package includes 3-D detail description of all physical processes involved in LPP devices. The models continued to be well benchmarked in each interaction physics phase of plasma evolution and EUV/BEUV production as well as in the integrated LPP systems. We simulated LPP sources in full 3-D geometry using Sn and Gd droplets and fragmented targets composed of microdroplets as a result of prepulse or from mist of tiny droplets distribution. We studied mass dependence, laser parameters effects, atomic and ionic debris generation, and optimization of EUV/BEUV radiation output, the requirements for mitigating systems to reduce debris effects. Our enhanced modeling and simulation include all phases of laser target evolution: from laser/droplet interaction, energy deposition, target vaporization and fragmentation, ionization, plasma hydrodynamic expansion, thermal and radiation energy redistribution, and EUV/BEUV photons collection as well as detail mapping of photons source location and size. Modeling results were benchmarked against experimental studies for the in-band photons production and for debris and ions generation.
EUV Mask Structure
icon_mobile_dropdown
Magnetron sputtering for the production of EUV mask blanks
Patrick Kearney, Tat Ngai, Anil Karumuri, et al.
Ion Beam Deposition (IBD) has been the primary technique used to deposit EUV mask blanks since 1995 when it was discovered it could produce multilayers with few defects. Since that time the IBD technique has been extensively studied and improved and is finally approaching usable defectivities. But in the intervening years, the defectivity of magnetron sputtering has been greatly improved. This paper evaluates the suitability of a modern magnetron tool to produce EUV mask blanks and the ability to support HVM production. In particular we show that the reflectivity and uniformity of these tools are superior to current generation IBD tools, and that the magnetron tools can produce EUV films with defect densities comparable to recent best IBD tool performance. Magnetron tools also offer many advantages in manufacturability and tool throughput; however, challenges remain, including transitioning the magnetron tools from the wafer to mask formats. While work continues on quantifying the capability of magnetron sputtering to meet the mask blank demands of the industry, for the most part the remaining challenges do not require any fundamental improvements to existing technology. Based on the recent results and the data presented in this paper there is a clear indication that magnetron deposition should be considered for the future of EUV mask blank production.
Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks
In this paper we compare the imaging performance of several options currently under consideration for use in 0.33 and higher numerical aperture (NA) extreme ultraviolet (EUV) mask stacks, Mo/Si ML reflective coatings with 40 bilayers, Ru/Si multilayer (ML) reflective coatings with 20 bilayers, and a new thinner Ni-based absorber layer on each of these mask stacks. The use of a Ru/Si ML coating with its shallower effective reflectance plane and a 2x thinner Ni-based absorber is expected to significantly reduce both shadow bias requirements and mask telecentricity errors. The conclusions of the paper are supported with the results of both experimental measurements and rigorous simulations.
Understanding EUV mask blank surface roughness induced LWR and associated roughness requirement
Extreme ultraviolet lithography (EUVL) mask multi-layer (ML) blank surface roughness specification historically comes from blank defect inspection tool requirement. Later, new concerns on ML surface roughness induced wafer pattern line width roughness (LWR) arise. In this paper, we have studied wafer level pattern LWR as a function of EUVL mask surface roughness via High-NA Actinic Reticle Review Tool. We found that the blank surface roughness induced LWR at current blank roughness level is in the order of 0.5nm 3σ for NA=0.42 at the best focus. At defocus of ±40nm, the corresponding LWR will be 0.2nm higher. Further reducing EUVL mask blank surface roughness will increase the blank cost with limited benefit in improving the pattern LWR, provided that the intrinsic resist LWR is in the order of 1nm and above.
Development and evaluation of interface-stabilized and reactive-sputtered oxide-capped multilayers for EUV lithography
A critical component of high-performance EUV lithography source optics is the reflecting multilayer coating. The ideal multilayer will have both high reflectance and high stability to thermal load. Additionally the capping layers must provide resistance to degradations from exposure to an EUV source, and also be compatible with, or enhance, the systems used for cleaning an exposed multilayer coating. We will report on the results of development of C and B4C stabilized Mo/Si multilayers used to increase the as-deposited peak reflectivity (Rp) as well as decreasing the loss of peak reflectivity (Rp) as a function of annealing temperature. Previous results demonstrate that these layers prevent loss of Rp for temperatures up to 600º C. Results on the use of reactively-sputtered oxide capping layers such as SiO2 and ZrO2 will be presented as well, along with results of exposure testing. The deposition is performed in a dual processchamber inline magnetron system, using reactive sputtering for the production of capping layers. The reflectometer and exposure apparatus at the NIST Physics Laboratory is used for evaluation of the performance. Exposure results on the resistance to oxidation in the presence of water vapor will be presented and discussed.
EUV Resists
icon_mobile_dropdown
Novel resist approaches to enable EUV lithography in high volume manufacturing and extensions to future nodes
Mark Neisser, Kevin Cummings, Sean Valente, et al.
EUV lithography is needed by the semiconductor industry for both its resolution and for the process simplification it provides compared to multiple patterning. However it needs innovations to make it a success. One area where innovation is needed is resist performance. Resists that are commercially available for EUV use are typically based on conventional chemically amplified resist chemistry. So far, this has not provided the required performance at fast enough photo speed. Many innovative resist systems have been introduced in the last few years that have novel mechanisms and/or incorporate novel chemical elements with high EUV absorbance. These new systems are promising enough for EUV use that work on many of them now needs to shift to characterizing their functional parameters and optimizing their performance. For the future, new systems beyond these will have to focus on reducing the inherent noise in resist imaging. The concept of pixelated resists is introduced and it is suggested pixelated resists are one possible avenue for imaging sub 10nm features with sufficient feature size and profile control.
Understanding of stochastic noise
Seo-Min Kim, Chang-Moon Lim, Mi-Rim Jung, et al.
Stochastic noise has strong impact on local variability such as LWR (Line Width Roughness), LCDU (Local Critical Dimension Uniformity) and LPE (Local Placement Error), and it is basically originated from the particle nature of photon. Statistical uncertainties of particles, same as the stochastic noises, can be analytically calculated by considering aerial image as a probability density function of photons. Contact-hole is the best pattern for counting its photon, so LCDU of contact-hole array is estimated and compared with experimental results. Among several possible statistical events from mask to resist pattern, three independent events of aerial image formation, photon absorption in resist, and chemical reaction including acid generation are considered to predict stochastic noise for both EUV (Extreme Ultra Violet) and ArF immersion lithography.
Negative-tone imaging with EUV exposure for 14nm hp and beyond
Hideaki Tsubaki, Wataru Nihashi, Toru Tsuchihashi, et al.
Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI compared to PTI, that is, only 36 nm contacts with 60 nm pitch was resolved under EUV exposure.
Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography
Yoshitaka Komuro, Hiroki Yamamoto, Kazuo Kobayashi, et al.
Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub 10nm. An anion-bound polymer(ABP), in which at the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using γ and EUV radiolysis. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The protons of acids are considered to be mainly generated through the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through the hole transfer to the decomposition products of onium salts.
Novel EUV resist development for sub-14nm half pitch
Masafumi Hori, Takehiko Naruoka, Hisashi Nakagawa, et al.
Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.
EUV Integration
icon_mobile_dropdown
Evaluating printability of buried native EUV mask phase defects through a modeling and simulation approach
The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.
Towards production ready processing with a state-of-the-art EUV cluster
Karen Petrillo, Nicole Saulnier, Richard Johnson, et al.
EUV lithography is one of the main candidates for enabling the next generation of devices, primarily by enabling a lithography process that reduces complexity, and eventually, cost. IBM has installed the latest tool sets at the IBM EUV Center of Excellence in Albany to accelerate EUV lithography development for production use. Though the EUV cluster is capable of enabling the pitch requirements for the 7nm node, the dimensions in question represent a new regime in defectivity. Additionally, new classes of patterning materials are being explored, for which there is very little known up-front regarding known defect mechanisms. We will discuss the baseline cluster performance and the improvement strategy in terms of defectivity and pattern collapse in this paper by utilizing coater/developer techniques based on the new platform.
EUV contact holes and pillars patterning
In this paper, we will present the experimental comparison results on contact holes (CHs) and pillars patterning in EUV lithography with several candidate processes. Firstly, we have compared the normalized image log-slope (NILS), local critical dimension uniformity (LCDU) and dose-to-size (DtS) with respect to positive tone imaging (PTI) and negative tone imaging (NTI) process by EUV stochastic simulation. From the simulation results, we found that NTI process has higher absorbed photon density that can reduce the DtS and the LCDU of pillars pattern is improved with higher NILS compared to CHs patterning with similar DtS. So we have experimentally evaluated the pillars patterning process with 0.25NA EUV scanner system and compared the process margin, LCDU and DtS with the same parameters of the CHs pattering process. Further, we have demonstrated the CHs patterning with reverse process from pillars by using the dry development rinse process (DDRP). Different to the simulation results, the experimental LCDU results of pillars pattern and CHs pattern by DDRP show worse values comparing with the reference resist CHs pattern. In order to analyze these results, we have investigated the effect of flare, target CD, PR thickness and mask stack of the experimental conditions. Furthermore, we have evaluated the pillar patterning with NTD resist and by DDRP.
EUV processing and characterization for BEOL
The successful demonstration of 637 wafer exposures in 24 hours on the EUV scanner at the IBM EUV Center for Excellence in July marked the transition from research to process development using EUV lithography. Early process development on a new tool involves significant characterization, as it is necessary to benchmark tool performance and process capability. This work highlights some key learning from early EUV process development with a focus on identifying the largest sources of variability for trench and via hole patterning through the patterning process. The EUV scanner demonstrated stable overlay on a 40 lot test run using integrated wafers. The within field and local critical dimension uniformity (CDU) are the largest contributors to CD variations. The line edge roughness (LER) and line width roughness (LWR) in EUV resist will be compared to the post etch value to determine the effect of processing. While these numbers are generally used to describe the robustness of 1D trenches or circular vias, the need to accurately evaluate the printability of irregular 2D features has become increasingly important. In the past 5 years, models built from critical dimension scanning electron microscope (CDSEM) contours has become a hot topic in computational lithography. Applying this methodology, the CDSEM contour technique will be used to assess the uniformity of these irregular patterns in EUV resist and after etching. CDSEM contours also have additional benefits for via pattern characterization.
Implementation of assist features in EUV lithography
Fan Jiang, Martin Burkhardt, Ananthan Raghunathan, et al.
The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.
Optical proximity effects in 4-nm EUV lithography: a rigorous study using a new PSTD method
In this paper, rigorous simulation is used to explore the limits of high-NA EUV lithography for printing real circuits containing 1D and 2D patterns. First, a new, non-conforming mesh pseudo-spectral time-domain formulation suitable for simulating complicated EUV mask layouts is described. Then, an exact mathematical explanation of the waveguide effect in high-NA EUV lithography is presented. This effect serves to overcome much of the problem of shadowing in high-NA EUV lithography. Next, the printing of a DRAM circuit with 4-nm feature size using optical proximity correction and single exposure is demonstrated. Finally, the possibility of printing a SRAM circuit with 4-nm feature size using single exposure is discussed.
Directed self assembly on resist-limited guiding patterns for hole grapho-epitaxy: Can DSA help lower EUV's source power requirements?
We have performed a systematic study regarding the diblock composition to keep the size of the cylinders relatively constant despite the shape of the guiding pattern. We have also explored how some guiding patterns shapes provide acceptable cylindrical assembly using an EUV exposure system. This study assumes that LER is a random phenomenon which conformably follows the shape of the guiding pattern. While the edges of the guiding pattern have fluctuations related to the LER of the EUV resist, as long as the centroid of the guiding pattern remains constant, the rectification characteristics of DSA permits adequate hole formation. In this paper we include the level of LER a guiding pattern can exhibit given a pre-determined diblock copolymer / homopolymer mixture. As the amount of homopolymer increases, the size and placement of the assembled diblock becomes less sensitive to the guiding pattern’s edge roughness. This study also explores how the addition of homopolymer is only effective up to a point, as a homopolymer-rich blend is not able to assemble properly. One of the concerns about homopolymer-rich mixtures is the effect it has in the formation of defects. Such effect has not been fully characterized but this study serves as the basis for testing optimal combinations of materials and lithography settings for an EUV system, with the end goal to enable contact/via printing at lower EUV source power requirements.
Mask Topography: Joint Session with Conferences 9422 and 9426
icon_mobile_dropdown
Best focus shift mechanism for thick masks
Martin Burkhardt, Ananthan Raghunathan
The best focus shift due to thick mask effects is well known, both in ArF, and more importantly in EUV, where the shorter wavelength is small compared to both mask openings and absorber height. While the effect is stronger in opaque features in clear field masks, the best focus shift is visible in dark field masks as well, and it becomes even more pronounced when scattering bars are added to non-dense features. This pattern dependent focus variation can be predicted in both exact EMF simulations and fast image calculations that are used for optical proximity correction (OPC). Even though this focus shift can be predicted and patterns can be corrected in OPC, we would like to understand the mechanism that causes this focus shift. This can help us understand if, in addition to best focus shift, the image quality is further deteriorated due to the thick mask effects. The best focus shift is found to be an interplay of the complex diffraction coefficient due to thick mask effects and the direction of the light that is incident on the mask, or coherence value σ. A change in focus adds a phase term to each of the complex diffraction coefficients, causing their rotation in a phasor diagram. Best focus is found when the phasors have an angle of 0 or 180 degrees to each other and depending on which diffracted orders are caught in the pupil and contribute to imaging. We investigate the effect of partial coherence, mask thickness, and assist feature placement on best focus shift. We observe a waveguide effect in the absorber gaps because of the reduced real index of refraction in the absorber layer, making vacuum the optically dense medium. We suggest ways to lessen the best focus shifts through assist feature placement or the use of alternative absorbers that are closer matched to the dielectric index of vacuum.
Mitigation of image contrast loss due to mask-side non-telecentricity in an EUV scanner
Chih-Tsung Shih, Shinn-Sheng Yu, Yen-Cheng Lu, et al.
Due to the use of reflective optics in extreme-ultraviolet lithography (EUVL), the chief ray angle of incidence at the object (mask) side (CRAO) cannot be zero. If the conventional resolution enhancement technique (RET) of off-axis illumination (OAI) is used, such mask-side non-telecentricity degrades aerial image contrast partly because of asymmetry (w.r.t. the mask) of the two beams in an incident beam pair and partly because of asymmetry (w.r.t. the mask) of the two diffraction orders of either incident beam. The former leads to intensity imbalance of the two incident beams (after leaving the mask) and the latter leads to amplitude and phase imbalance of the two diffraction orders of either incident beam. Solutions proposed previously only alleviate the former and have little help for the latter. In this paper, we introduce n=1 absorber to eliminate the phase imbalance so that the transverse shift between the two aerial images formed by the two incident beams can be minimized and the contrast of the final aerial image (by superposition of the two) can be restored.
EUV telecentricity and shadowing errors impact on process margins
Monte Carlo simulations are used in the semiconductor industry to evaluate variability limits in design rule generation, commonly for interaction between different layers. The variability of the geometry analyzed is determined mainly by the lithography, process and OPC used. Monte Carlo methods for design rule evaluation can provide the requisite level of accuracy, and are suitable for two or more layer interactions because the variations on one can be assumed to be independent of variations on the other(s). The variability parameters and budget utilized in optical Monte Carlo simulations is well-established. With the upcoming implementation of EUV lithography the variability budget will be impacted. EUV has an off-axis illumination angle that complicates the lithography process by causing telecentricity and shadowing errors. Telecentricity errors manifest as a printed feature being shifted relative to the design. The amount the feature is shifted is a function of the pattern density and design. Shadowing is caused by the 3D nature of the mask combined with EUV reflective mask technology. A shadow occurs at feature edges, where the source does not fully illuminate. Telecentricity and shadowing errors, although small at the 10 nm node, will increase in relative size compared to the features printed beyond the 7 nm node. Telecentricity and shadowing errors are complex in nature and can’t be compensated for with a flat bias. These errors unique to EUV are incorporated into Monte Carlo simulations and evaluated against the standard cell design layers. The effect of these variability parameters is evaluated on critical 7 nm node layout clips.
Resist Outgas Testing
icon_mobile_dropdown
Analysis of EUV resist outgassing depended on the dosage
E. Shiobara, I. Takagi, Y. Kikuchi, et al.
The suppression of extreme ultraviolet (EUV) photoresist-related outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. Witness sample pragmatic outgas qualification has been developed into the general method for clarifying commercially available, chemically amplified resists. Preliminary results have suggested a linear correlation between contamination thickness in the electron-beam-based and the EUV-based evaluation systems. In fact, a positive relationship was observed between contamination thickness and exposure dose. However, recent experiments indicate that in some resists, this relationship is not linear. In the present study, a resist outgas model is proposed and tested to investigate the contamination thickness’ dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. Furthermore, the low-Ea resists have high contamination risk when exposure is extensive.
First results of outgas resist family test and correlation between outgas specifications and EUV resist development
Yu-Jen Fan, Ken Maruyama, Ramakrishnan Ayothi, et al.
In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.
Collaborative work on reducing the intersite gaps in outgassing qualification
Soichi Inoue, Eishi Shiobara, Takeshi Sasami, et al.
This paper reports on an all-out effort to reduce the intersite gap of the resist outgassing contamination growth in the results obtained under the round-robin scheme. All test sites collaborated to determine the causes of such gaps. First, it was determined that wafer temperature during exposure could impact the amount of contamination growth. We discovered a huge intersite gap of wafer temperatures among the sites by using a wafer-shaped remote thermometer with wireless transmitting capability. Second, whether the contamination-limited regime was attained during testing could have been another primary root cause for such a difference. We found that for one of the model resists whose protecting unit had lower activation energy and molecular weight the contamination-limited regime was insufficient at one test site. Third, the ratio of the exposed area to pumping speed is necessary to equalize contamination growth. We validated the effect of matching the ratio of exposure area to pumping speed on reducing the intersite gap. This study and the protocols put in place should reduce the intersite gap dramatically.
Polarization resolved measurements with the new EUV ellipsometer of PTB
Victor Soltwisch, Andreas Fischer, Christian Laubis, et al.
After having developed metrology with synchrotron radiation at the storage rings BESSY I and BESSY II for more than 25 years, particularly also for the characterization of EUV optical components and detectors, PTB extended its capabilities for EUV metrology with respect to polarization resolved measurements, particularly in the spectral region around 13.5 nm. With the development of larger numerical aperture optics for EUV and advanced illumination concepts for lithographic imaging, the polarization performance of the optical elements and EUV photomasks with respect to high-NA EUV imaging becomes ever more important. At PTB, we use monochromatized bending magnet radiation for the characterization of the optical elements because of the superior temporal stability and rapid tuneability of the wavelength. Thus the polarization of the radiation is almost linear, depending on the vertical beamline acceptance angle, and cannot be manipulated. Therefore, we decided to equip the soft X-ray beamline which delivers particularly well collimated and highly linearly polarized radiation with a sample manipulator which allows freely setting the orientation of the plane of deflection. Thus we are able to characterize samples in any orientation with respect to the linear polarized direction. We additionally can add a linear polarization analyzer working with a rotatable Brewster reflector to analyze the state of polarization of the reflected beam.

We present first results on the polarization properties of EUV multilayer mirrors close to the Brewster angle where polarization selectivity up to s104 is predicted from model calculations. We also present polarization resolved measurements of the EUV diffraction of absorber line patterns at EUV photomasks.
EUV Optics and Mask Metrology
icon_mobile_dropdown
Aberration estimation using EUV mask roughness
Rene A. Claus, Antoine Wojdyla, Markus P. Benk, et al.
We present a method to extract aberrations from through-focus aerial images of mask roughness on an Extreme Ultraviolet (EUV) lithography mask. The algorithm uses a phase recovery algorithm based on the Weak Object Transfer Function to recover the phase and amplitude of the roughness, while considering aberrations and partially coherent illumination. Using the self-consistency of the recovered object, aberrations, and measured images as a metric, we optimize over the space of aberrations to estimate aberrations. Partially coherent illumination is needed to allow the effects of the object field and aberrations to be separated. We apply the algorithm to the EUV aerial image microscope, SHARP, using a parameterized ray tracing model to calculate the aberrations from a lower dimensional parameter space.
A method of image-based aberration metrology for EUVL tools
Zac Levinson, Sudharshanan Raghunathan, Erik Verduijn, et al.
We present an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We examine the flexibility and criticality of the method using two experimental case studies. The first extracts the pupil phase behavior from an ASML NXE:3100 exposure system and shows primary aberration sensitivity below 0.2 mλ. The second experiment extracts both components of the pupil function from the SHARP EUV microscope.
Correlation of actinic blank inspection and experimental phase defect printability on NXE3x00 EUV scanner
R. Jonckheere, D. Van den Heuvel, N. Takagi, et al.
One challenge of extreme ultraviolet (EUV) mask defectivity is the severe printability of defects of the multi-layer (ML) mirror on the mask. These ML-defects are just nanometer high or deep local distortions of this ML mirror. Dedicated blank inspection tools have become available over time. One of them is the actinic blank inspection tool under development through EIDEC (Lasertec ABI). EIDEC and imec have jointly correlated its blank defect detection capability to wafers printed on ASML NXE3100 and NXE3300 scanners. Printing ML-defects were identified by wafer inspection, followed by subsequent repeater analysis, and correlated back to blank inspection. Forward correlation of ABI detections to the printed wafer was also successfully undertaken. The focus of this work has been on native defects. This paper will discuss the obtained results from the perspective of how to use ABI to assess which kind of native ML defects need to be avoided during blank fabrication.
Phase measurements of EUV mask defects
Rene A. Claus, Yow-Gwo Wang, Antoine J. Wojdyla, et al.
Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. A quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than the conventional resolution of the microscope. Programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.
Application of the transport of intensity equation to EUV multilayer defect analysis
This paper proposes a new method for the characterization of multilayer defects of EUV masks. The method uses measured or simulated EUV projection images at different focus positions. The Transport of Intensity Equation (TIE) is applied to retrieve the phase distribution of the reflected light in the vicinity of the defect. An Artificial Neural Network (ANN) is applied to correlate the optical properties of the intensity and recovered phase of the defect with the defect geometry parameters and to reconstruct the defect geometry parameters from though-focus-images of unknown defects.
EUV Mask Inspection
icon_mobile_dropdown
Actinic review of EUV masks: Status and recent results of the AIMS EUV system
Markus R. Weiss, Dirk Hellweg, Markus Koch, et al.
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. To realize such an actinic review tool, Carl Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a development program for an EUV aerial image metrology system, the AIMS™ EUV. In this paper, we discuss the current status of the prototype integration and show recent results.
New ways of looking at masks with the SHARP EUV microscope
Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, et al.
Extreme ultraviolet (EUV) microscopy is invaluable for the development of EUV photomasks, providing detailed information for the creation of new mask processes, and reliable feedback for comparison with printing studies. The SHARP microscope at Lawrence Berkeley National Laboratory is dedicated to photomask research. It was developed with forward-looking specifications that make it well suited to the emulation of current EUV lithography tools and a variety of possible future directions. Some recent examples include (1) the demonstration of imaging with 4x numerical aperture values up to 0.625, measuring patterns with feature sizes down to 30-nm half-pitch, created with a tin-based photoresist serving as the absorber. (2) The emulation of complex, free-form illuminators used in source-mask optimization, including grayscale pupil fills. (3) Point by point phase measurement from aerial image measurements using several techniques. (4) Direct observation of non-telecentric, through-focus imaging effects that arise from the angular-dependence of the mask’s multilayer coating properties. In addition, we are preparing to extend SHARP imaging to include anamorphic optics, an emerging area of EUV lithography research.
SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges
Alin O. Antohe, Dave Balachandran, Long He, et al.
Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.
Enhancing defect detection with Zernike phase contrast in EUV multilayer blank inspection
In this paper, we present an experimental verification of Zernike phase contrast enhanced EUV multilayer (ML) blank defect detection using the SHARP EUV microscope. A programmed defect as small as 0.35 nm in height is detected at focus with signal to noise ratio (SNR) up to 8. Also, a direct comparison of the through-focus image behavior between bright field and Zernike phase contrast for ML defects ranging from 40 nm to 75 nm in width on the substrate is presented. Results show the advantages of using the Zernike phase contrast method even for defects with both phase and absorption components including a native defect. The impact of pupil apodization combined with Zernike phase contrast is also demonstrated, showing improved SNR is due to the stronger reduction of roughness dependent noise than defect signal, confirming our previous simulation results. Finally we directly compare Zernike phase contrast, dark field and bright field microscopes.
Toward defect guard-banding of EUV exposures by full chip optical wafer inspection of EUV mask defect adders
Scott D. Halle, Luciana Meli, Robert Delancey, et al.
The detection of EUV mask adder defects has been investigated with an optical wafer defect inspection system employing a methodology termed Die-to-“golden” Virtual Reference Die (D2VRD). Both opaque and clear type mask absorber programmed defects were inspected and characterized over a range of defect sizes, down to (4x mask) 40 nm. The D2VRD inspection system was capable of identifying the corresponding wafer print defects down to the limit of the defect printability threshold at approximately 30 nm (1x wafer). The efficacy of the D2VRD scheme on full chip wafer inspection to suppress random process defects and identify real mask defects is demonstrated. Using defect repeater analysis and patch image classification of both the reference die and the scanned die enables the unambiguous identification of mask adder defects.
Application of differential phase contrast imaging to EUV mask inspection: a numerical study
Xibin Zhou, Dominic Ashworth, Frank Goodwin, et al.
We demonstrate numerically that oblique off-axis illumination could enhance the contrast and extend the depth of focus of EUV phase defects detection. In addition to quantitative observation, it also allows us to extract the resolution-limited defect phase profiles quantitatively. This scheme can be easily implemented in both full field and scanning mask inspection tools.
EUV Extension
icon_mobile_dropdown
EUV lithography scanner for sub-8nm resolution
Jan van Schoot, Koen van Ingen Schenau, Chris Valentin, et al.
EUV lithography for resolutions below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range of 100 wafers per hour is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently the shadowing deteriorates the aerial image contrast to unacceptably low values at the current 4x magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value significantly below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing the transmission of the optics as well as increasing the acceleration of the wafer- and mask stage. This makes it an economically viable lithography solution. Furthermore, the simulated imaging behavior of the system is demonstrated and its impact on the rest of the lithographic system is discussed.
EUV lithography optics for sub-9nm resolution
Bernhard Kneer, Sascha Migura, Winfried Kaiser, et al.
EUV lithography for resolution below 9 nm requires the numerical aperture of the projection optics to be significantly larger than 0.45. A configuration of 4x magnification, full field size and 6’’ reticle is not feasible anymore. The increased chief ray angle and higher NA at reticle lead to non-acceptable shadowing effects, which can only be controlled by increasing the magnification, hence reducing the system productivity. We demonstrate that the best compromise in imaging, productivity and field split is a so-called anamorphic magnification and a half field of 26 x 16.5 mm². We discuss the optical solutions for anamorphic high-NA lithography.
Imaging performance of EUV lithography optics configuration for sub-9nm resolution
New design solutions are available for high-NA EUV optics, maintaining simultaneously superior imaging performance and productivity below 9nm resolution by means of anamorphic imaging. We investigate the imaging properties of these new optics configurations by rigorous simulations, taking into account mask induced effects as well as characteristics of the new optics. We compare the imaging behavior to other, more traditional optics configurations, and show that the productivity gain of our new configurations is indeed obtained at excellent imaging performance.
EUV resolution enhancement techniques (RETs) for k1 0.4 and below
Stephen Hsu, Rafael Howell, Jianjun Jia, et al.
Due to the exponential growth of mobile wireless devices, low-power logic chips continue to drive device scaling. To enable sub-10 nm device scaling at an affordable cost, there is a strong need for single exposure advanced lithography. Extreme ultraviolet lithography (EUVL) is one of the most promising candidates to support the design rules for sub-10 nm. The aggressive mobile device design rules continue to push the critical dimension (CD) and pitch and put very stringent demands on the lithography performance such as pattern placement control, image contrast, critical dimension uniformity (CDU), and line width roughness (LWR). In this paper we report the latest advances in resolution enhancement techniques to address low k1 challenges in EUV lithography, specifically: minimizing the pattern placement error, enhancing the through-focus contrast, and reducing the impact of stochastic effects. We have developed an innovative source-mask optimization (SMO) method to significantly reduce edge placement errors (EPE) [1] [2]. Aggressive design rules using the state-of-the-art NA of 0.33 of the NXE:3300B and its successor tools can have imaging below k1 = 0.4, which can extend the current process capabilities for single exposure high volume manufacturing (HVM). Burkhardt et al. reported in a previous study that inserting a sub-resolution assist feature (SRAF) within semi-isolated features introduces strong Bossung tilts and best focus shifts, and a general solution for random pitches is not apparent [3]. Kang observed the same issues and proposed to introduce spherical aberrations to correct these effects while having a global impact on the full-chip [4]. In this work we introduce a new methodology to apply SRAFs to improve contrast, reduce best focus shift, and improve process window. Finally, the lower number of photons of EUV and the small feature size brings serious issue of the stochastic effect that causes the line-edge-roughness (LER) and local CD uniformity (LCDU). Source power, photoresist, mask bias, and feature size all impact the stochastic effects that can result in large LER for low-k1 patterning. We incorporate an empirical LER model in the SMO NXE frame work to study how the pupil, mask, dose, and target CD can be optimized to reduce stochastic edge placement errors (SEPE). We believe that these advanced EUV RET techniques can support imaging k1 below 0.4 and extend single exposure for an NA of 0.33, as is used in the NXE:3300B and its successor tools.
Extending shearing interferometry to high-NA for EUV optical testing
Ryan Miyakawa, Patrick Naulleau
Characterizing and removing optical aberrations remains a key part of achieving ultimate resolution in EUV exposure tools. Common-path approaches such as lateral shearing interferometry (LSI) have had success at moderate numerical apertures (NA ≈ 0:3); however, these techniques run into several obstacles when applied at higher NA (NA > 0:4). Chief among these obstacles are systematic aberrations due to high incident angles on the diffraction grating and non-planar Talbot surfaces that create regions of low fringe contrast across the pupil. In this paper, we present strategies for addressing these obstacles to extend LSI to high numerical apertures. These strategies will be employed in the installation and alignment of the 0.5-NA SEMATECH Berkeley Microfield Exposure Tool (MET5).
Advanced coatings for next generation lithography
P. Naujok, Sergiy Yulin, N. Kaiser, et al.
Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.
EUV Manufacturing
icon_mobile_dropdown
The patterning center of excellence (CoE): an evolving lithographic enablement model
Warren Montgomery, Jun Sung Chun, Michael Liehr, et al.
As EUV lithography moves toward high-volume manufacturing (HVM), a key need for the lithography materials makers is access to EUV photons and imaging. The SEMATECH Resist Materials Development Center (RMDC) provided a solution path by enabling the Resist and Materials companies to work together (using SUNY Polytechnic Institute’s Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) -based exposure systems), in a consortium fashion, in order to address the need for EUV photons. Thousands of wafers have been processed by the RMDC (leveraging the SUNY Poly CNSE/SEMATECH MET, SUNY Poly CNSE Alpha Demo Tool (ADT) and the SEMATECH Lawrence Berkeley MET) allowing many of the questions associated with EUV materials development to be answered. In this regard the activities associated with the RMDC are continuing. As the major Integrated Device Manufacturers (IDMs) have continued to purchase EUV scanners, Materials companies must now provide scanner based test data that characterizes the lithography materials they are producing. SUNY Poly CNSE and SEMATECH have partnered to evolve the RMDC into “The Patterning Center of Excellence (CoE)”. The new CoE leverages the capability of the SUNY Poly CNSE-based full field ASML 3300 EUV scanner and combines that capability with EUV Microexposure (MET) systems resident in the SEMATECH RMDC to create an integrated lithography model which will allow materials companies to advance materials development in ways not previously possible.
EUV mask cleans comparison of frontside and dual-sided concurrent cleaning
The cleaning requirements for EUV masks are more complex than optical masks due to the absence of available EUVcompatible pellicles. EUV masks must therefore be capable of undergoing more than 100 cleaning cycles with minimum impact to lithographic performance. EUV masks are created on substrates with 40 multilayers of silicon and molybdenum to form a Bragg reflector, capped with a 2.5nm-thick ruthenium layer and a tantalum-based absorber; during usage, both ruthenium and absorber are exposed to the cleaning process. The CrN layer on the backside is used to enable electrostatic clamping. This clamp side must also be free of particles that could impact printing and overlay, and particles could also potentially migrate to the frontside and create defects. Thus, the cleaning process must provide decent particle removal efficiencies on both front- and backside while maintaining reflectivity with minimal surface roughness change. In this paper, we report progress developing a concurrent patterned-side and clamped-side cleaning process that achieves minimal reflectivity change over 120 cleaning cycles, with XPS and EDS indicating the presence of ruthenium after 125 cleaning cycles. The change in surface roughness over 100 cleaning cycles is within the noise (0.0086nm) on a mask blank, and SEM inspection of 100nm and 200nm features on patterned masks after undergoing 100 cleaning cycles show no indications of ruthenium pitting or significant surface damage. This process was used on test masks to remove particles from both sides that would otherwise inhibit these masks from being used in the scanner.
Maintaining Moore’s law: enabling cost-friendly dimensional scaling
Moore's Law (Moore's Observation) has been driving the progress in semiconductor technology for the past 50 years. The semiconductor industry is at a juncture where significant increase in manufacturing cost is foreseen to sustain the past trend of dimensional scaling. At N10 and N7 technology nodes, the industry is struggling to find a cost-friendly solution. At a device level, technologists have come up with novel devices (finFET, Gate-All-Around), material innovations (SiGe, Ge) to boost performance and reduce power consumption. On the other hand, from the patterning side, the relative slow ramp-up of alternative lithography technologies like EUVL and DSA pushes the industry to adopt a severely multi-patterning-based solution. Both of these technological transformations have a big impact on die yield and eventually die cost. This paper is aimed to analyze the impact on manufacturing cost to keep the Moore’s law alive. We have proposed and analyzed various patterning schemes that can enable cost-friendly scaling. We evaluated the impact of EUVL introduction on tackling the high cost of manufacturing. The primary objective of this paper is to maintain Moore’s scaling from a patterning perspective and analyzing EUV lithography introduction at a die level.
Multi-stack extreme-ultraviolet pellicle with out-of-band reduction
The out-of-band (OoB) radiation that can cause serious aerial image deformation on the wafer is reported. In order to check the maximum allowable OoB radiation reflectivity at the extreme ultra-violet (EUV) pellicle, we simulated the effect of OoB radiation and found that the maximum allowable OoB radiation reflectivity at the pellicle should be smaller than 15 % which satisfy our criteria such as aerial image critical dimension (CD), contrast, and normalized image log slope (NILS). We suggested a new multi-stack EUV pellicle that can have high EUV transmission, minimal OoB radiation reflectivity, and enough deep ultra-violet transmission for inspection and alignment of the mask through the EUV pellicle.
Exposure Tools
icon_mobile_dropdown
Performance overview and outlook of EUV lithography systems
Alberto Pirati, Rudy Peeters, Daniel Smith, et al.
Multiple NXE:3300 are operational at customer sites. These systems, equipped with a Numerical Aperture (NA) of 0.33, are being used by semiconductor manufacturers to support device development. Full Wafer Critical Dimension Uniformity (CDU) of 1.0 nm for 16nm dense lines and 1.1 nm for 20nm isolated space and stable matched overlay performance with ArF immersion scanner of less than 4nm provide the required lithographic performance for these device development activities. Steady progresses in source power have been achieved in the last 12 months, with 100Watts (W) EUV power capability demonstrated on multiple machines. Power levels up to 90W have been achieved on a customer machine, while 110W capability has been demonstrated in the ASML factory. Most NXE:3300 installed at customers have demonstrated the capability to expose 500 wafers per day, and one field system upgraded to the 80W configuration has proven capable of exposing 1,000 wafers per day. Scanner defectivity keeps being reduced by a 10x factor each year, while the first exposures obtained with full size EUV pellicles show no appreciable difference in CDU when compared to exposures done without pellicle. The 4th generation EUV system, the NXE: 3350, is being qualified in the ASML factory.
Overlay and edge placement control strategies for the 7nm node using EUV and ArF lithography
Jan Mulkens, Michael Hanna, Hannah Wei, et al.
In this paper we discuss edge placement error (EPE) for multi-patterning application and compare the EPE budget with the one for EUV single expose application case. These two patterning methods are candidate for the manufacturing of 10-nm and 7-nm logic semiconductor devices. EUV will enable 2D random pattern layout, while in the multi-patterning case a more restricted 1D design layout is needed. For the 1D design approach we discuss the patterning control spacer pitch division resulting in complex multi-layer alignment and EPE optimization strategies. Solutions include overlay and CD metrology based on angle resolved scatterometry, scanner actuator control to enable high order overlay corrections and computational lithography optimization to minimize imaging induced pattern placement errors of devices and metrology targets. We use 10-nm node experimental data and extrapolate the error budgets towards the 7-nm technology node. The experimental data will be based on NXE:3300B and NXT:1960Bi/NXT:1970Ci exposure systems. The results are compared to the more straightforward alternative of using single expose patterning with EUV for all critical layers.
Evaluation of EUV resist performance using interference lithography
Elizabeth Buitrago, O. Yildirim, C. Verspaget, et al.
Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon sensitivity (dose), and high exposure latitude (EL) simultaneously still remains challenging below 16 nm HP.
An accurate method to determine the amount of out-of-band light in an EUV scanner
Shinn-Sheng Yu, Yen-Cheng Lu, Chih-Tsung Shih, et al.
In this paper, we proposed a new design of the test mask to measure the amount of the out-of-band (OOB) light from an extreme-ultraviolet (EUV) light source by detuning the period of the multilayer (ML), rather than changing the material of the absorber, to suppress reflection of EUV light. The new OOB test mask also reflects essentially the same OOB light as that of the production mask at each wavelength in the whole OOB spectral range. With the help of the new OOB test mask, the contributions to the background intensity from in-band flare and OOB light can be correctly separated and an accurate optical-proximity-correction (OPC) model can be established.
EUV mask particle adders during scanner exposure
Yoonsuk Hyun, Jinsoo Kim, Kyuyoung Kim, et al.
As EUV reaches high volume manufacturing, scanner source power and reticle defectivity attract a lot of attention. Keeping a EUV mask clean after mask production is as essential as producing a clean EUV mask. Even though EUV pellicle is actively investigated, we might expose EUV masks without EUV pellicle for some time. To keep clean EUV mask under pellicle-less lithography, EUV scanner cleanliness needs to meet the requirement of high volume manufacturing. In this paper, we will show the cleanliness of EUV scanners in view of mask particle adders during scanner exposure. From this we will find several tendencies of mask particle adders depending on mask environment in scanner. Further we can categorize mask particle adders, which could show the possible causes of particle adders during exposure in scanners.
Debris monitoring and minimization system for EUV sources
Arjen de Jong, René T. M. Jilisen, Mark van de Kerkhof, et al.
In NXE laser-produced-plasma (LPP) light sources, extreme-ultra-violet (EUV) light is created by exciting small droplets of Sn with a pre-pulse and main pulse laser. The Sn droplet is converted into plasma, which emits the desired light wavelength. Under non-optimal conditions, tin debris fragments can be created that can contaminate the light source optics. The current presentation describes experiments with a novel metrology technique that can detect and quantify debris in the source. The results can be used to optimize source settings to significantly reduce contamination and increase lifetime and availability.

A novel optical technique uses a pulsed double cavity laser at 532 nm with beam-shaping optics that is fired at a synchronized time delay after the EUV burst. The light is converted to a thin light sheet that illuminates possible debris fragments in the direct region around the plasma.

Mie scattering theory is applied to convert the intensity of the incoming individual particles to an estimated diameter estimation and the two frames are correlated with advanced particle tracking algorithms to capture the velocity and direction of each individual particle. Because light intensity is used for particle sizing, small individual particles can be detected. The technique provides particle count, diameter, direction and velocity information.

This technique has successfully been applied on operating NXE test sources. It has proven to directly identify plasma conditions with significant debris reduction. Furthermore, it has potential to correlate the plasma settings to lifetime estimations and thus can be used for both source optimization and design.
Feasibility study on the impact of high-power EUV irradiation on key lithographic elements
EIDEC has built a high-power EUV irradiation tool equipped with a laser-produced plasma source to investigate the effect of EUV power and pulsed irradiation on the resist outgassing and durability of the mask and pellicle elements. In this paper, we discuss the feasibility study on the impact of high-power EUV irradiation on key elements through the application of a high-power EUV irradiation tool. The equipment comprises a laser-produced plasma source similar to those used in presently available EUV scanners and relay mirrors which facilitates the EUV irradiation on the sample plane. The equipped laser-produced plasma source has lower power at the intermediate focus than those expected in future high-volume manufacturing EUV scanners. However, with fewer relay mirrors compared to these EUV scanners, sufficient EUV power density is emulated on the sample plane i.e. EUV power equivalent to what is expected in future high volume manufacturing EUV scanners can be obtained. The basic design configuration and performance of the laser-produced plasma source and the entire system are discussed. Tests for investigating the effect of EUV power density on the outgassing and durability of mask blanks have commenced, and the preliminary results are presented in this paper.
Poster Session
icon_mobile_dropdown
EUV patterning improvement toward high-volume manufacturing
Yuhei Kuwahara, Koichi Matsunaga, Shinichiro Kawakami, et al.
Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.
Evaluation of rinse material and process for EUV lithography
Kazuma Yamamoto, Toshiro Itani
Extreme ultraviolet lithography (EUVL) is the most promising option for future manufacturing of IC devices at sub-10nm node. However, resist pattern collapse is one concern for high volume manufacturing. To mitigate resist pattern collapse, rinse material is applied for EUVL. However at narrow pitches, it was found that some rinse materials caused pattern bridging and critical dimension (CD) shift. In this study, we suggest a model of resist deformation by surfactant penetration to resist pattern. Moreover, we focused on affinity between resist and surfactant which is main components in rinse material to clarify the pattern bridging and CD-shift issue by inducing surfactant penetration to resist pattern. To define the affinity between each material, Solubility Parameter (SP) value and Difference of Solubility Parameter value (DSP) of each material was calculated. The relation between surfactant penetration and DSP of each material was investigated. To clarify the relation, stress test was applied to enhance pattern deformation. As a result, it can be said that there is good correlation between surfactant penetration and SP value. Using these studies, rinse material design with low affinity surfactant for resist was able to achieve no CD-shift and less pattern bridging defect number.
Measurement of the phase defect size using scanning probe microscope and at-wavelength inspection tool
Tsuyoshi Amano, Tsukasa Abe
Predicting the lithographic impact of a phase defect, that is embedded in an Extreme Ultraviolet (EUV) mask, on a wafer printed image is one of the most challenging tasks. In this study, to characterize the phase defects, two types of measurement tools were employed and the data thus obtained from them were then evaluated for comparisons. One measurement tool was a scanning probe microscope used for measuring the surface topography of phase defects, and the other one was an at-wavelength dark-field inspection tool capable of capturing phase defect and then calculating the defect detection signal intensity (DSI) from those images. A programmed phase defect mask with various lateral sizes and depths was prepared. The sizes and DSIs were then measured. The measured data indicated that the DSIs did not directly correlate with the phase defect volumes. The influence of the phase defects on a wafer printed images was also calculated using a lithography simulator. The calculated results indicated that the printed critical dimensions (CDs) were strongly correlated with the DSIs rather than with the phase defect volumes. As a result, the influence of the phase defect on the printed CD can be predicted from the values of the DSIs.
Low-LER tin carboxylate photoresists using EUV
Ryan Del Re, Miriam Sortland, James Pasarelli, et al.
Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty-one compounds of the type R2Sn(O2CR’)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the Emax values were linearly related to molecular weight when plotted separately depending upon the hydrocarbon group bound directly to tin (R = butyl, phenyl and benzyl). Additionally, Emax was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin. Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (13) achieved 1.4 nm LER at 22 nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (14) achieved 1.1 nm LER at 35 nm half-pitch at high exposure doses (600 mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH=CH2)2 (11) and (C6H5CH2)2Sn(O2CC(CH3)=CH2)2 (12), demonstrated much improved photospeeds (5 mJ/ cm2 and 27 mJ/cm2) but with worse LER.
Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists
Jing Jiang, Mufei Yu, Ben Zhang, et al.
Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality.
Experimental validation of stochastic modeling for negative-tone develop EUV resists
Line width roughness (LWR) remains a critical issue when moving towards smaller feature sizes in EUV lithography. At the same time, negative-tone develop (NTD) resist has become a promising process to get wide process margin at narrow trenches and for block mask layers in optical lithography. Here, we present a study on printing behavior of an EUV NTD resist which was exposed at IMEC on the AMSL NXE:3100 EUV tool. In particular, we analyzed the line width roughness, which was found to be pattern dependent. We calibrated a stochastic resist model to the experimental CD and LWR data. The resulting model was used to analyze and understand the pattern dependent LWR behavior. Simulation results for different LWR process window between iso trench, dense line and iso line was verified with measurement results.
Study of EUVL patterned mask inspection tool for half-pitch (hp) 16nm-11nm node
EUVL patterned mask defect detection is one of the major issues to realize device fabrication with EUV lithography.1-6 We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 (“Model EBEYE” is an EBARA’s model code), and which seems to be quite promising for hp 16 nm node EUVL patterned mask inspection. The PEM system performs well for hp 16 nm EUVL patterned mask inspection. Moreover, we discuss the system extendibility to 11 nm node defect detection. High-speed image sensor, high-speed image processing circuit, and bright/stable electron source are necessary for hp 11 nm defect inspection. We describe the experimental results of the EUVL patterned mask inspection using the abovementioned system for hp 11 nm node. Programmed defects in hp 11 nm (44 nm on mask) are applied for defect detection sensitivity evaluation.
Dependence of defect size and shape on detectability for EUV patterned mask inspection
Defect detectability using electron beam (EB) inspection for extreme ultraviolet (EUV) mask was investigated by comparing a projection electron microscope (PEM) and a scanning electron microscope (SEM) inspection system. The detectability with EB does not coincide with the printability data because the contrasts of EUV aerial image and EB image for EUV mask are reversed. The detectability for 16 nm sized defect on a half pitch 64 nm line and space pattern is acceptable under 2-nm-line edge roughness (LER) (3 sigma) in both PEM and SEM inspections by applying a special algorithm for image processing. The required and robust inspection conditions such as the number of electrons per pixel and pixel size (resolution) are examined for SEM inspection system. Throughput of a PEM inspection system corresponds to that of a multi-beam SEM one with 250 – 1000 beams.
Positive-tone EUV resists: complexes of platinum and palladium
Miriam Sortland, Ryan Del Re, James Passarelli, et al.
The EUV photoreactivity of platinum and palladium mononuclear complexes has been investigated. Many platinum and palladium complexes show little or no EUV sensitivity, however, we have found that metal carbonates and metal oxalates (L2M(CO3) and L2M(C2O4); M = Pt or Pd) are sensitive to EUV. The metal-carbonates give negative tone behavior. The most interesting result is that the metal oxalates give first positive-tone EUV resists based on mono-nuclear organometallic compounds. In particular, (dppm)Pd(C2O4) (dppm = 1,1-Bis(diphenylphosphino)methane) (25) prints 30-nm dense lines with Esize of 50 mJ/cm2. To improve the lithographic performance of (25), the processing conditions were studied. A bake study showed that bake affected sensitivity and dark loss very little, while dark loss worsened with development time. Derivatives of (25) were synthesized to explore the effect of molecular weight on resist sensitivity, but the study showed no correlation between molecular weight and sensitivity.
Test of an argon cusp plasma for tin LPP power scaling
Scaling the power of the tin droplet laser-produced-plasma (LPP) extreme ultraviolet (EUV) source to 500W has eluded the industry after a decade of effort. In 2014 we proposed [2] a solution: placing the laser-plasma interaction region within an argon plasma in a magnetic cusp. This would serve to ionize tin atoms and guide them to a large area annular beam dump. We have since demonstrated the feasibility of this approach. We present first results from a full-scale test plasma at power levels relevant to the generation of at least 200W, showing both that the argon cusp plasma is very stable, and that its geometrical properties are ideal for the transport of exhaust power and tin to the beam dump.
Analysis of distinct scattering of extreme ultraviolet phase and amplitude multilayer defects with an actinic dark-field microscope
Lukas Bahrenberg, Stefan Herbert, Jenny Tempeler, et al.
The authors report on experimental and simulative scattering analyses of phase and amplitude defects found in extreme ultraviolet multilayer mirrors, such as mask blanks for EUV lithography. The goal of the analyses is to develop a novel mask blank inspection procedure using one single inspection tool that allows to determine whether a defect is a surface type (amplitude) defect, or a buried type (phase) defect. The experiments were carried out with an actinic dark-field reflection microscope. Programmed defects of both types were fabricated, using different nanostructuring techniques. Analytical and rigorous scattering simulations were carried out to predict and support the experimental results.
Improving process and system for EUV coat-develop track
Masahiko Harumoto, Harold Stokes, Yan Thouroude, et al.
EUV lithography (EUVL) is well known to be a strong candidate for next generation, single exposure sub-30nm half-pitch lithography.[1] Furthermore, a high-NA EUV exposure tool released two years ago gave a strong impression for finer pattern results. On one hand, it seems that the coat develop track process remains very similar and in many aspects returns to KrF or ArF dry process fundamentals, but in practice the 26-32nm pitch patterning coat-develop track process also has challenges with EUV resist. As access to EUV lithography exposures has become more readily available over the last five (5) years, several challenges and accomplishments in the track process have been reported, such as the improvement of ultra-thin film coating, CD uniformity, defectivity, line width roughness (LWR) and so on.[2-6] The coat-develop track process has evolved along with novel materials and metrology capability improvements. Line width roughness (LWR) and defect control are demonstrated utilizing the SOKUDO DUO coat-develop track system with an ASML NXE:3100 in the IMEC (Leuven, Belgium) clean room environment. Additionally, we will show the latest lithographic results obtained by novel processing approaches in an EUV coat-develop track system.
Effects of low-molecular weight resist components on dissolution behavior of chemically amplified resists for extreme ultraviolet lithography studied by quartz crystal microbalance
It is challenging to implement extreme ultraviolet (EUV) lithography for mass production because the demands for the EUV resist materials are very strict. Under such circumstances, it is important in EUV resist design to clarify the dissolution behavior of the resist film into alkaline developer. In particular, the dissolution in exposed area of resist films is one of the most critical processes. However, the details in dissolution process of EUV resist have not been investigated thus far. In this study, the dissolution of poly(4-hydroxystyrene) (PHS) polymer and PHS partially-protected with t-butoxycarbonyl group (t-BOC-PHS) with and without additives such as acid generator and amines was studied by using the quartz crystal microbalance (QCM) method. The dissolution behavior of thin films was investigated by varying the exposure dose and the acid generator concentration from the standpoint of a systematic understanding of the effects of each resist component on dissolution kinetics. The dissolution speed became slower with increase of TPS-tf concentration in PHS and t-BOC-PHS. It is important for the EUV resist design to take into account the concentration of undecomposed PAG.
Evaluation of optical properties of EUV resist underlayer
The resist underlayer (UL) has been shown to beneficially impact the exposure latitude in photolithography techniques. As a result, the development of the resist UL is in progress for extreme ultraviolet lithography (EUVL) as well. Since the aspect ratio of patterns increases as the feature size decreases, a high-performance EUV UL is expected to be in high demand. In this study, we evaluated the optical properties of the EUV UL by using the lithography simulation tool PROLITH X5 (KLA-Tencor). We quantified the imaging properties of a 14 nm half-pitch (HP) line and space (L/S) pattern by varying the refractive index, extinction coefficient and thickness of the UL under 0.5 numerical aperture (NA) conditions with a conventional binary intensity mask. These simulations reveal that the number of photons absorbed in the photoresist increases as the refractive index of the UL decreases; this results from the increase in reflectivity from the UL/photoresist interface. Therefore, the line critical dimension (CD) mean value decreases and stochastic imaging properties improve in the observation plane. As the refractive index of the UL is reduced, however, the light intensity in resist and the distribution of photons is distorted by the standing wave effect, resulting in roughness and non-uniformity in the pattern sidewall. Therefore, the refractive index of the UL should be similar to that of the photoresist in order to get the optimized performance.
New approach to improve LER of EUV resist pattern by chemical and thermal treatment
Tatsuro Nagahara, Kazuma Yamamoto, Yuriko Matsuura, et al.
In this paper, we discuss a new approach to improve the resist roughness, which is applied after the lithography process. The ERC (Edge Roughness Controller) process is composed of two steps, 1) To deliver resist softening material at the resist surface 2) To give thermal flow at that region in the bake step. Several samples were prepared based on this concept and consistent improvement was observed. Finally, by optimizing ERC chemistry using HSP (Hansen Solubility Parameter), LWR improvement of 14.8% could be achieved.
Collector optic cleaning by in-situ hydrogen plasma
Extreme ultraviolet (EUV) lithography sources produce EUV photons by means of a hot, dense, highly-ionized Sn plasma. This plasma expels high-energy Sn ions and neutrals, which deposit on the collector optic used to focus the EUV light. This Sn deposition lowers the reflectivity of the collector optic, necessitating downtime for collector cleaning and replacement. A method is being developed to clean the collector with an in-situ hydrogen plasma, which provides hydrogen radicals that etch the Sn by forming gaseous SnH4. This method has the potential to significantly reduce collector-related source downtime. EUV reflectivity restoration and Sn cleaning have been demonstrated on multilayer mirror samples attached to a Sn-coated 300mm-diameter steel dummy collector driven at 300W RF power with 500sccm H2 and a pressure of 260mTorr. Use of the in-situ cleaning method is also being studied at industriallyapplicable high pressure (1.3 Torr). Plasma creation across the dummy collector surface has been demonstrated at 1.3 Torr with 1000sccm H2 flow, and etch rates have been measured. Additionally, etching has been demonstrated at higher flow rates up to 3200sccm. A catalytic probe has been used to measure radical density at various pressures and flows. The results lend further credence to the hypothesis that Sn removal is limited not by radical creation but by the removal of SnH4 from the plasma. Additionally, further progress has been made in an attempt to model the physical processes behind Sn removal.
Simulation study of the influence of PEB reaction rates on resist LER
Suchit Bhattarai, Andrew R. Neureuther, Patrick P. Naulleau
A stochastic resist simulator has first been calibrated to experimental results performed on a commercially available EUV resist, and subsequently has been used to study the influence of acid/base quenching rate and the polymer deprotection rate on resist LER for 22 nm half-pitch lines/spaces. Results indicate that larger quenching rates and smaller deprotection rates result in improved LER performance by causing an increase in the dose to size. With nominal quenching rate determined from literature, halving the deprotection rate relative to nominal value reduces the LER by 33%, while the dose to size increases by 2x. With nominal deprotection rate determined from literature, results indicate a low sensitivity of LER to quenching rate. Expected noise at the line edge calculated by using a shot noise model accounting for absorbed photons, acid, and base count, provides a good explanation for the LER trends calculated for several reaction rate scenarios.
LPP light source for actinic HVM inspection applications
Bob Rollinger, Nadia Gambino, Duane Hudgins, et al.
EUV sources with high brightness and stability are required for actinic photomask inspection. High availability and cleanliness after IF are additional stringent requirements. EUV lithography is only production ready, if these tools are available with HVM specifications. At the Laboratory for Energy Conversion, ETH Zurich, droplet-based EUV LPP sources have been designed, developed and tested at the system level for the last 8 years and has been commercialized by Adlyte AG. The most advanced facility, namely ALPS II, has been operated as a prototype source for hundreds of hours. In the present work, the EUV plasma is imaged with the help of a pinhole camera. The dimension of the plasma in the direction of the laser axis and the direction of the train equal 60 μm and 70 μm, respectively. The plasma is also imaged using an ICCD with an exposure time of 5 ns. The observed droplet plasma has a characteristic kidney shape. The ICCD is a valuable diagnostic as inspection tools require high pulse-to-pulse reproducibility that cannot be assessed to the full extend using a EUV pinhole camera. Various collector configurations, using either NI or GI, have been integrated into the source. The measurements of the emission characteristics at IF for a GI collector configuration reveal a Gaussian spot shape at IF and a pulse-to-pulse stability of 6.8 % (σ), which matches previous stabilities at the source level. The debris mitigation system employs a three layer strategy between the plasma and IF. Introducing a high momentum flow as a first layer of debris mitigation, the load of tin spots on the collector could be reduced by a factor of 9, hence a significant increase of source life-time is obtained. A quantification by Adlyte of IF cleanliness after 24 hours source operation revealed no relevant contamination with respect to the requirements for Blank Inspection Cleanliness after IF.
Study of Dill's B parameter measurement of EUV resist
Atsushi Sekiguchi, Yoko Matsumoto, Tetsuo Harada, et al.
Our group previously explored methods for measuring simulation parameter for advanced chemically amplified (CA) resists, including development parameters [1]. Dill’s C parameter [2-3] , acid diffusion length generated from PAG [4], and de-protection reaction parameters [5-6]. We performed simulations of EUV resists using these parameters, the results of which allowed us to examine the conditions for reducing LER and improving resolution. This paper discusses a method for measuring the Dill’s B parameter, which had been difficult to measure with conventional methods. We also confirmed that enhancing the resist polymer’s EUV light absorption is effective in improving the sensitivity of the CA resist.
Modeling of bi-spectral primary source for the EUV lithography
A. P. Zhevlakov, R. P. Seisyan, V. G. Bespalov, et al.
It is shown that the power consumption in the double-pulse bi-spectral primary source for EUV lithography can be substantially decrease by replacing pre-amplifiers in power CO2 laser with the SRS converters wavelength 1.06 μm to 10.6 μm while maintaining efficiency of EUV radiation output of illuminated plasma.
Calibration of system errors in lateral shearing interferometer for EUV-wavefront metrology
A new systematic error calibration method in lateral shearing interferometry (LSI) is proposed for extreme ultraviolet lithography. This method is used to remove the most significant errors: geometric optical path difference (OPD) and detector tilt error. The difference fronts of 0th and ±1st order diffracted waves are used to reconstruct wavefront. The Zernike coefficients of the reconstructed wavefront are used to calculate the distance among different diffracted light converging points (d). The difference front of 0th and +1st order diffracted waves is mirrored and added to the difference front of 0th and –1st order diffracted waves. The sum is used to calculate detector tilt angle. The geometric OPD and detector-tilt induced systematic errors are removed based on the calculated d and detector tilt angle. Simulations show that the root-mean-square (RMS) value of the residual systematic error is smaller than 0.1nm. The proposed method can be used to accurately measure the aberration of EUV optics with large numerical aperture (NA 0.5) in LSI.
Key components technology update of 100W HVM EUV source
This paper introduces key components technology update of 100 W HVM LPP-EUV (laser produced plasma extreme ultraviolet) source which enable sub-10 nm critical layer patterning. This light source system is composed of several key components and each has its innovating, key and original technology. They are perfectly controlled and work harmoniously to produce stable plasma and provide high power EUV light in long term to the photolithography equipment. This paper describes the latest results obtained from our proto systems and test stands which support one hundred watt HVM LPP-EUV light source. Key components performance with experimental data and measurements are reported, such as high power short pulse CO2 drive laser, unique pre-pulse laser technology, very small droplet generation, magnetic debris mitigation, laser-droplet shooting control and etc.
13nm EUV free electron lasers for next generation photolithography: the critical importance of RF stability
Simon Keens, Bodo Fritsche, Carmen Hiltbrunner, et al.
A Free Electron Laser (FEL) is a highly coherent, highly collimated light source capable of creating extremely high power beams of precisely controlled wavelengths. The semiconductor industry is currently examining these as sources extreme ultraviolet (EUV) light for photolithography applications. An important factor to achieve high quality FEL emission is the careful development of the amplifying RF system as a complete integrated unit, considering each component as part of the amplification chain to maximise RF stability and FEL beam quality. In this paper we review methods to optimise RF amplifier systems without compromising on output stability, compare solid-state with tube based technologies, and discuss the state-of-the-art in RF amplifier technology, highlighting recent case studies. We conclude by examining the benefits of integrated systems and highlight the solutions offered by available technologies to a range of technological challenges, in order to design and build the best possible systems with maximum possible efficiency for the demanding requirements of the semiconductor industry.