Proceedings Volume 7973

Optical Microlithography XXIV

cover
Proceedings Volume 7973

Optical Microlithography XXIV

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 22 March 2011
Contents: 22 Sessions, 95 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2011
Volume Number: 7973

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7973
  • FreeForm and SMO
  • Source and Mask Optimization I
  • Double Patterning I
  • Double Patterning II
  • Mask 3D Modeling
  • Tools and Process Control I
  • Tools and Process Control II
  • Computational Lithography
  • Innovative Lithography Process Control: Joint Session with Conference 7971
  • Mask and Layout Optimization
  • Optical/DFM: Joint Session with Conference 7974
  • Source and Mask Optimization II
  • Tools
  • Poster Session: Computational Lithography
  • Poster Session: Double Patterning
  • Poster Session: FreeForm and SMO
  • Poster Session: Laser
  • Poster Session: Scanner-Lithography Optimization
  • Poster Session: Mask/Wafer Topography, Layout, and OPC
  • Poster Session: Modeling
  • Poster Session: Tool and Process Control
Front Matter: Volume 7973
icon_mobile_dropdown
Front Matter: Volume 7973
This PDF file contains the front matter associated with SPIE Proceedings Volume 7973, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.
FreeForm and SMO
icon_mobile_dropdown
Freeform and SMO
The effect of the source pixel intensity and polarization on imaging is analyzed. The pixilated source is an artifact of the discrete overlapping diffraction orders from the pupil stop of the illumination and from the pupil stop of the projection lens. The intensity of the discrete source pixels is sensitive to the image log slope (ILS) and the mask error enhancement factor (MEEF). There are trade-offs between minimizing the MEEF and maximizing the process window (PW). The pixilated source intensity allows one to better balance these trade-offs. Although the source intensity has a large effect on MEEF and PW, mixed polarization states of XY and transverse magnetic (TM) or of transverse electric (TE) and TM have limited value because of low k1 sampling of the projection lens and because effects of the wafer stack of thin-films dominate.
Qualification, monitoring, and integration into a production environment of the world's first fully programmable illuminator
Gregory McIntyre, Daniel Corliss, Remco Groenendijk, et al.
This paper will describe the development, qualification, monitoring, and integration into a production environment of the world's first fully programmable illuminator for optical lithography. FlexRay TM, a programmable illuminator based on a MEMs multi-mirror array that was developed for TWINSCAN XT:19x0i and TWINSCAN NXT series ASML immersion scanners, was first installed in January 2010 at Albany Nanotech, with subsequent tools installed in IBM's East Fishkill Manufacturing facility. After a brief overview of the concept and benefits of FlexRay, this paper will provide a comprehensive assessment of its reliability and imaging performance. A CD-based pupil qualification (CDPQ) procedure will be introduced and shown to be an efficient and effective way to monitor pupil performance. Various CDPQ and in-resist measurement results will be described, offering convincing evidence that FlexRay reliably generates high-quality pupils and is well suited for high volume manufacturing at lithography's leading edge.
Design specific joint optimization of masks and sources on a very large scale
Joint optimization (JO) of source and mask together is known to produce better SMO solutions than sequential optimization of the source and the mask. However, large scale JO problems are very difficult to solve because the global impact of the source variables causes an enormous number of mask variables to be coupled together. This work presents innovation that minimize this runtime bottleneck. The proposed SMO parallelization algorithm allows separate mask regions to be processed efficiently across multiple CPUs in a high performance computing (HPC) environment, despite the fact that a truly joint optimization is being carried out with source variables that interact across the entire mask. Building on this engine a progressive deletion (PD) method was developed that can directly compute "binding constructs" for the optimization, i.e. our method can essentially determine the particular feature content which limits the process window attainable by the optimum source. This method allows us to minimize the uncertainty inherent to different clustering/ranking methods in seeking an overall optimum source that results from the use of heuristic metrics. An objective benchmarking of the effectiveness of different pattern sampling methods was performed during postoptimization analysis. The PD serves as a golden standard for us to develop optimum pattern clustering/ranking algorithms. With this work, it is shown that it is not necessary to exhaustively optimize the entire mask together with the source in order to identify these binding clips. If the number of clips to be optimized exceeds the practical limit of the parallel SMO engine one can starts with a pattern selection step to achieve high clip count compression before SMO. With this LSSO capability one can address the challenging problem of layout-specific design, or improve the technology source as cell layouts and sample layouts replace lithography test structures in the development cycle.
Source and Mask Optimization I
icon_mobile_dropdown
Illuminator predictor for effective SMO solutions
Daniel G. Smith, Naonori Kita, Nobumichi Kanayamaya, et al.
Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion lithography1. However, imaging with a small k12 factor (~0.3 or smaller) is very sensitive to errors in the illumination pupil2. As a result, care must be taken to insure that the source solution from SMO can be produced by the real illuminator, which is subject to its own imaging constraints. One approach is to include an illuminator simulator in the SMO loop so that only realizable illumination pupils are considered during optimization. Furthermore, any illumination pupil predictor used in SMO should operate quickly compared to the imaging simulation if it is to avoid increasing the computational load.
Full-chip source and mask optimization
Min-Chun Tsai, Stephen Hsu, Luoqi Chen, et al.
A cost-efficient technique for full-chip source and mask optimization is proposed in this paper. This technique has two components: SMO source optimization for full-chip and flexible mask optimization (FMO). During the technology development stage of source optimization, a novel pattern-selection technique was used to identify critical clips from a full-set of design clips; SMO was then used to optimize the source based on those selected critical-clips. This pattern-selection technique enables reasonable SMO runtime to optimize the source that covers the full range of patterns. During the process development stage and product tapeout stage, FMO is employed. The FMO framework allows the use of different OPC computational techniques on different chip areas that have different sensitivities to process variations. Advanced OPC methods are applied only where they are needed, therefore achieving optimum process performance with the least tapeout and mask cost.
Joint optimization of layout and litho for SRAM and logic towards the 20nm node using 193i
This paper reports on a simulation study in which we compare different possibilities to find a litho solution for SRAM and Logic for planar technology nodes between 28 nm and 20 nm, using 193 nm immersion lithography. At these nodes, it becomes essential to include the layout itself into the optimization process. The so-called gridded layout style is an attractive candidate to facilitate the printability of several layers, but the benefit of this style, as compared to less restricted layout styles, is not well quantified for the various technology nodes of interest. We therefore compare it with two other, less restricted, layout styles, on an identical (small) SRAM-Logic test chip. Exploring a number of paths in the layout-style - litho-options search space, we try to quantify merits and trade-offs for some of the relevant options. We will show that layout restrictions are really becoming mandatory for the technology nodes studied in this paper. Other important enablers for these aggressive nodes are multiple patterning, the use of a local-interconnect layer, negative-tone development, SMO and the use of optimized free-form illumination sources (from which we also include a few initial wafer results).
Applicability of global source mask optimization to 22/20nm node and beyond
Source-mask optimization (SMO) in optical lithography has in recent years been the subject of increased exploration as an enabler of 22/20nm and beyond technology nodes [1-6]. It has been shown that intensive optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive solutions in both the source and mask, which yields improved lithographic performance. This paper will demonstrate the value of SMO software in resolution enhancement techniques (RETs). Major benefits of SMO include improved through-pitch performance, the possibility of avoiding double exposure, and superior performance on two dimensional (2D) features. The benefits from only optimized source, only optimized mask, and both source and mask optimized together will be demonstrated. Furthermore, we leverage the benefits from intensively optimized masks to solve large array problems in memory use models (MUMs). Mask synthesis and data prep flows were developed to incorporate the usage of SMO, including both RETs and MUMs, in several critical layers during 22/20nm technology node development. Experimental assessment will be presented to demonstrate the benefits achieved by using SMO during 22/20nm node development.
Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization
Koichiro Tsujita, Tadashi Arai, Hiroyuki Ishii, et al.
A method to resolve 20nm node of SRAM contact layer whose minimum pitch is 90nm with enough process latitude is shown. To achieve the target by single exposure under condition of ArF and 1.35 of NA a way to optimize lithography parameters and layout parameters simultaneously is applied that is called co-optimization. At first the memory cell is optimized from several viewpoints of device and lithography, and then the entire memory cell block including the array circuit is optimized. It proves that combination of co-optimization and insertion of SRAF works very well considering the appropriate printed shape required by the device layout. The co-optimization is compared to such a conventional method as OPC. The performance is better than conventional OPC. Especially the MEFF is much better and the evaluation to find the mechanism is shown. It proves that complex patterns with many fragments make MEEF higher. The superior characteristics of co-optimization are analyzed by the result of Linear Programming that can find the strict solution. The pixel source shape has become almost same as one by co-optimization. The solution is achieved by binary mask with simple patterns and the simple source shape. It is crucial for COO.
Double Patterning I
icon_mobile_dropdown
Simultaneous OPC and decomposition for double exposure lithography
Shayak Banerjee, Kanak B. Agarwal, Michael Orshansky
Double exposure techniques are an economically viable method for extending the life of the current 193nm wavelength immersion lithography techniques into future generations of semiconductor scaling. One popular example of double exposure is the use of double dipole illumination, where the X and Y dipoles are separately optimized for vertical and horizontal features respectively. The primary challenge in such double exposure techniques lies in the process of target layout decomposition into patterns that can be optimally printed using their respective source. Current approaches for decomposition are rule-based. They suffer from the drawbacks of scalability, rule count explosion and inability to guarantee sufficient yield in the presence of process variation. Further, rules are characterized specific to sources and are relatively easy to develop for dipoles, but far more difficult to develop for more complex sources such as used in source mask optimization (SMO). Decomposed target layouts have to further undergo optical proximity correction (OPC) in order to be converted to a mask for use in manufacturing. In this paper, we propose a novel approach which integrates the processes of decomposition and optical proximity correction. We preclude the intermediate target decomposition stage. Instead, we directly optimize the masks for both exposures simultaneously in order to obtain a wafer image that both closely matches the target layout and is also robust to process variation. For this purpose, we define a lithographic cost function that is a weighted sum of intensity error and intensity slope. We develop methods to analytically predict the change in this cost function due to movement of fragments on each mask. We then utilize a gradient-descent algorithm for fragment movement to minimize the cost function. Since our methodology is based on the knowledge of the SOCS decomposition kernels, it is not restricted to dipoles alone, but can be utilized for any complex sources for which such kernels are known. Our experiments on 1x metal (M1) show significant improvement in layout process window compared to traditional rule-based decomposition methods.
Towards manufacturing of advanced logic devices by double-patterning
As reported previously, the IBM Alliance has established a DETO (Double-Expose-Track-Optimized) baseline, in collaboration with ASML, TEL, and CNSE, to evaluate commercially available DETO photoresist system for the manufacturing of advanced logic devices. Although EUV lithography is the baseline strategy for <2x nm logic nodes, alternative techniques are still being pursued. The DETO technique produces pitch-split patterns capable of supporting 16 nm and 11 nm node semiconductor devices. We present the long-term monitoring performances of CD uniformity (CDU), overlay, and defectivity of our DETO process. CDU and overlay performances for controlled experiments are also presented. Two alignment schemes in DETO are compared experimentally for their effects on inter-level & intralevel overlays, and space CDU. We also experimented with methods for improving CDU, in which the CD-OptimizerTMand DoseMapperTM were evaluated separately and in tandem. Overlay improvements using the Correction Per Exposure (CPE) and the intra-field High-Order Process Correction (i-HOPC) were compared against the usual linear correction method. The effects of the exposure field size are also compared between a small field and the full field. Included in all the above, we also compare the performances derived from stack-integrated wafers and bare-Si wafers.
Innovative self-aligned triple patterning for 1x half pitch using single "spacer deposition-spacer etch" step
Bencherki Mebarki, Hao D. Chen, Yongmei Chen, et al.
We successfully demonstrate a new approach to achieve 15nm half pitch with a spacer based selfaligned triple patterning (SATP). This new concept has a single spacer deposition and etch step to achieve 15nm half pitch using immersion lithography. Current spacer based triple or quadruple patterning approaches use two iterations of "spacer deposition / spacer etch" for pitch splitting, thus generating multi-modal trench CD, line CD and, trench depth population leading to challenging process control. The new concept overcomes CD population issues and reduces additional steps over implemented double patterning, thus could relax process window. The key innovative aspect is an undercut dry trim achieved by a selective dry etch process, followed by a flowable CVD (EternaTM FCVDTM) based gap-fill that can fill the undercut structures.
DPT restricted design rules for advanced logic applications
Yunfei Deng, Yuangsheng Ma, Hidekazu Yoshida, et al.
Double patterning technology (DPT) provides the extension to immersion lithography before EUV lithography or other alternative lithography technologies are ready for manufacturing. Besides the additional cost due to DPT processes over traditional single patterning process, DPT design restrictions are of concerns for potential additional design costs. This paper analyzes design restrictions introduced by DPT in the form of DPT restricted design rules, which are the interface between design and technology. Both double patterning approaches, Litho-Etch-Litho-Etch (LELE) and Self-Aligned Double Patterning with spacer lithography (SADP), are studied. DPT design rules are summarized based on drawn design layers instead of decomposed layers. It is shown that designs can be made DPT compliant designs if DPT design rules are enforced and DPT coloring check finds no odd cycles. This paper also analyzes DPT design rules in the design rule optimization flow with examples. It is essential to consider DPT design rules in the integrated optimization flow. Only joint optimization in design rules between design, decomposition and process constraints can achieve the best scaled designs for manufacturing. This paper also discusses DPT enablement in the design flow where DPT aware design tools are needed so that final designs can meet all DPT restricted design rules.
Scanner alignment performance for double patterning
L. Lattard, M. McCallum, R. Morton, et al.
Double patterning with Spacer (DPS) is now widely accepted as a viable technology for the further extension of 193nm lithography towards the 22nm /18nm technology nodes. DPS was primary introduced for the manufacturing of flash memory due to its 1D design geometry. However, DPS is now becoming a main stream technology for advanced technology nodes for logic product. DPS results in alignment and overlay marks with reduced image contrast after completion of spacer patterning. Consequently there is an elevated risk that the overlay performance of the cut lithography layer on the spacer [1] may be negatively impacted. Initial studies indicate that it may be necessary to consider new mark designs. In this paper, we discuss the basic design of the Nikon alignment marks and make a statistical assessment of their relative performance. The self aligned spacer process results in asymmetric spacers. That are two types of surface (inside and outside) of the spacer are formed. The impact of this asymmetry is also being assessed. Mark geometries are characterized with 3D-AFM measurement and alignment / overlay performance analysis.
Effective decomposition algorithm for self-aligned double patterning lithography
Hongbo Zhang, Yuelin Du, Martin D. F. Wong, et al.
Self-aligned double patterning (SADP) lithography is a novel lithography technology that has the intrinsic capability to reduce the overlay in the double patterning lithography (DPL). Although SADP is the critical technology to solve the lithography difficulties in sub-32nm 2D design, the questions - how to decompose a layout with reasonable overlay and how to perform a decomposability check - are still two open problems with no published work. In this paper, by formulating the problem into a SAT formation, we can answer the above two questions optimally. This is the first published paper with detailed algorithm to perform the SADP decomposition. In a layout, we can efficiently check whether a layout is decomposable. For a decomposable layout, our algorithm guarantees to find a decomposition solution with reasonable overlay reduction requirement. With little changes on the clauses in the SAT formula, we can address the decomposition problem for both the positive tone process and the negative tone process. Experimental results validate our method, and decomposition results for Nangate Open Cell Library and larger test cases are also provided with competitive run times.
Double Patterning II
icon_mobile_dropdown
Mandrel-based patterning: density multiplication techniques for 15nm nodes
Chris Bencher, Huixiong Dai, Liyan Miao, et al.
In many ways, sidewall spacer double patterning has created a new paradigm for lithographic roadmaps. Instead of using lithography as the principal process for generating device features, the role of lithography becomes to generate a mandrel (a pre-pattern) off-of-which one will subsequently replicate patterns with various degrees of density multiplication. Under this new paradigm, the innovativeness of various density multiplication techniques is as critical to the scaling roadmap as the exposure tools themselves. Sidewall spacer double patterning was the first incarnation of mandrel based patterning; adopted quickly in NAND flash where layouts were simple and design space was focused. But today, the use of advanced automated decomposition tools are showing spacer based patterning solutions for very complex logic designs. Future incarnations can involve the use of laminated spacers to create quadruple patterning or by retaining the original mandrel as a method to obtain triple patterning. Directed self-assembly is yet another emerging embodiment of mandrel based patterning, where selfseparating polymers are registered and guided by the physical constraint of a mandrel or by chemical pre-pattern trails formed onto the substrate. In this summary of several bodies of work, we will review several wafer level demonstrations, all of which use various forms of mandrel or stencil based density multiplication including sidewall spacer based double, triple and quadruple patterning techniques for lines, SADP for via multiplication, and some directed self-assembly results all capable of addressing 15nm technology node requirements and below. To address concerns surrounding spacer double patterning design restrictions, we show collaboration results with an EDA partner to demonstrate SADP capability for BEOL routing layers. To show the ultimate realization of SADP, we partner with IMEC on multiple demonstrations of EUV+SADP.
Characterization of a 'thermal freeze' litho-litho-etch (LLE) process for predictive simulation
In this work, a physical model is constructed to describe a thermal cure double patterning photoresist process. The basic lithographic response of each photoresist can be accurately described using the conventional chemically amplified resist modeling approach. Experimental data reveals that although the thermal cure process removes all detectable photosensitivity from the imaged first resist, it does increase the materials solubility in the second resist development process. It is theorized that this solubility change results from thermal de-protection of the resist polymer during the cure. Introduction of a first-order thermal de-protection process to the model, results in simulations that match the experimental data. Measurement of actinic optical properties show that the first resist remains optically stable during its processing (in the regions remaining on the wafer after development) but that the BARC material undergoes significant optical changes in open areas where the first resist has been removed. The calibrated process model is tested against experimental data generated under other optical conditions; good quantitative and qualitative agreement is observed and in one case the simulation results suggest a plausible mechanism for observed process failure.
Improving double patterning flow by analyzing the diffractive orders in the pupil plane
To print sub 22nm node features, current lithography technology faces some tool limitations. One possible solution to overcome these problems is to use the double patterning technique (DPT). The principle of the double patterning technique is pitch splitting where two adjacent features must be assigned opposite masks (colors) corresponding to different exposures if their pitch is less than a predefined minimum coloring pitch. However, certain design orientations for which pattern features separated by more than the minimum coloring pitch cannot be imaged with either of the two exposures. In these directions, the contrast and the process window are degraded because constructive interferences between diffractive orders in the pupil plane are not sufficient. The 22nm and 16nm nodes require the use of very coherent sources that will be generated using SMO (source mask cooptimization). Such pixelized sources while helpful in improving the contrast for selected configurations, can lead to degrade it for configurations which have not been counted for during the SMO process. Therefore, we analyze the diffractive orders interactions in the pupil plane in order to detect these limited orientations in the design and thus propose a new double patterning decomposition algorithm to enlarge the process window and the contrast of each mask.
Spacer-defined double patterning for 20nm and beyond logic BEOL technology
Spacer-defined double patterning was investigated as a patterning option for 20/14-nm logic technology's back-end-of-line (BEOL), and compared with the double patterning options of front-end-of-line (FEOL). Negative spacer-defined double patterning was used to provide less overlay impact and variable CD control on the metal lines compared with other double patterning techniques. Block lithography as a 2nd exposure was able to maintain better tip-to-tip and tip-to-line fidelity by forming lines that behave as a additive etch block. SiO2 spacer was directly deposited on resist core-mandrel via a low-temperature deposition process. Resist integrity was optimized through aerial image and mask optimization as well as resist selection processes. Design decomposition of the BEOL layout was identified as a major challenge in enabling the spacer-defined double patterning. Finally, successful integration of the patterning into the BEOL device was demonstrated.
Mask 3D Modeling
icon_mobile_dropdown
Accuracy and performance of 3D mask models in optical projection lithography
Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.
Accounting for mask topography effects in source-mask optimization for advanced nodes
Tamer H. Coskun, Huixiong Dai, Hsu-Ting Huang, et al.
We present a comprehensive study of applicability of a fast 3D mask model in the context of source-mask optimization to advanced nodes. We compare the results of source optimization (SO) and source-mask optimization (SMO) with and without incorporating a fast 3D mask model to the rigorous 3D mask simulations and wafer data at 22 nm technology node. We do this comparison in terms of process metrics such as depth of focus (DOF), exposure latitude (EL), and mask error enhancement factor (MEEF). We try to answer the question of how much the illumination shape changes with the introduction of mask topography effect. We also investigate if the illumination change introduces any mask complexity and at which level. Correlation between MEEF and any mask complexity due to source variation is also explored. We validate our simulation predictions with experimental data.
Tools and Process Control I
icon_mobile_dropdown
Improved fab CDU with FlexRay and LithoTuner
Robert Socha, Wenjin Shao, Xu Xie, et al.
FlexRay programmable illumination and LithoTuner software is combined in several use cases. The first use case is optical proximity error (OPE) minimization. Simulation predicts the rms OPE error is reduced by 39% with LithoTuner and FlexRay, and is confirmed via experiment with a reduction of 33%. For minimizing the OPE error, two types of illumination tuning was performed, sigma tuning and freeform tuning. The sigma tuning is able to reduce the mean-totarget critical dimension (CD) error, but the CD error variance is unaffected. Freeform tuning, however, is able to reduce both the mean-to-target CD and the CD error variance. The second use case is matching two ArF scanners, a XT:1950Hi with FlexRay to a XT:1700Fi with diffractive optical element (DOE) illumination. With LithoTuner and FlexRay, simulation predicts the CD error post-matching is reduced by 51%, and experiment was able to achieve a reduction of 29%.
Optical proximity stability control of ArF immersion clusters
Once a process is set-up in an integrated circuit (IC) manufacturer's fabrication environment, any drift in the proximity fingerprint of the cluster will negatively impact the yield. In complement to the dose, focus and overlay control of the cluster, it is therefore also of ever growing importance to monitor and maintain the proximity stability (or CD through pitch behavior) of each cluster. In this paper, we report on an experimental proximity stability study of an ASML XT:1900i cluster for a 32 nm poly process from four different angles. First, we demonstrate the proximity stability over time by weekly wafer exposure and CD through pitch measurements. Second, we investigate proximity stability from tool-to-tool. In a third approach, the stability over the exposure field (intra-field through-pitch CD uniformity) is investigated. Finally, we verify that proximity is maintained through the lot when applying lens heating correction. Monitoring and maintaining the scanner's optical proximity through time, through the lot, over the field, and from toolto- tool, involves extensive CD metrology through pitch. In this work, we demonstrate that fast and precise CD through pitch data acquisition can be obtained by scatterometry (ASML YieldStarTM S-100), which significantly reduces the metrology load. The results of this study not only demonstrate the excellent optical proximity stability on a XT:1900i exposure cluster for a 32 nm poly process, but also show how scatterometry enables thorough optical proximity control in a fabrication environment.
Scanner matching using pupil intensity control between scanners in 30nm DRAM device
Jongwon Jang, Daejin Park, Jeaseung Choi, et al.
Scanner mismatch has become one of the critical issues in high volume memory production. There are several components that contribute to the scanner CD mismatch. One of the major components is illumination pupil difference between scanners. Because of acceleration of dimensional shrinking in memory devices, the CD mismatch became more critical in electrical performance and process window. In this work, we demonstrated computational lithography model based scanner matching for sub 3x nm memory devices. We used ASML XT:1900Gi as a reference scanner and ASML NXT:1950i as the to-be-matched scanner. Wafer metrology data and scanner specific parameters are used to build a computational model, and determine the optimal settings by model simulation to minimize the CD difference between scanners. Nano Geometry Research (NGR) was used as a wafer CD metrology tool for both model calibration and matching result verification. The extracted pupil parameters from measured source map from both before and after matching are inspected and analyzed. Simulated and measured process window changes by applying the matching sub-recipe are also evaluated.
Enabling 22-nm logic node with advanced RET solutions
The 22-nm technology node presents a real breakthrough compared to previous nodes in the way that state of the art scanner will be limited to a numerical aperture of 1.35. Thus we cannot "simply" apply a shrink factor from the previous node, and tradeoffs have to be found between Design Rules, Process integration and RET solutions in order to maintain the 50% density gain imposed by the Moore's law. One of the most challenging parts to enable the node is the ability to pattern Back-End Holes and Metal layers with sufficient process window. It is clearly established that early process for these layers will be performed by double patterning technique coupled with advanced OPC solutions. In this paper we propose a cross comparison between possible double patterning solutions: Pitch Splitting (PS) and Sidewall Image Transfer (SIT) and their implication on design rules and CD Uniformity. Advanced OPC solutions such as Model Based SRAF and Source Mask Optimization will also be investigated in order to ensure good process control. This work is a part of the Solid's JDP between ST, ASML and Brion in the framework of Nano2012 sponsored by the French government.
Tools and Process Control II
icon_mobile_dropdown
Solutions for 22-nm node patterning using ArFi technology
ArF immersion lithography will be the main candidate for lithography patterning at the 22nm node. For both logic and memory type applications, double patterning techniques have to be applied to reach design pitches well below 70nm. In the lithography this combines aggressive imaging at low k1 (0.28... 0.31) and aggressive absolute CDU requirements (approximately 6-10% of nominal CD) of 1.5..2nm 3σ. We will look into the lithography requirements to achieve such aggressive CDU numbers and will discuss solutions for achieving the required level of intra-field, inter-field, waferto- wafer and scanner-to-scanner CD variations
Characterization and control of dynamic lens heating effects under high volume manufacturing conditions
The desire to reduce cost in volume manufacturing has driven up the throughput in the lithographic exposure machines. As a result the power transmitted in the projection optics increases. Although small, the absorption levels in the lens materials are not zero, which leads to localized heating of the lens and hence lens aberrations. To squeeze out the maximum process windows, the pupil shapes have transformed from simple annular shapes to shapes with very concentrated poles. As a result, the exposure energy transported through the lens is no longer equally distributed over the lenses of the projection options. Instead only a fraction of the lens gets to transport the total power. This concentration of power further aggravates the lens heating induced aberrations and enhances the importance of advanced lens heating control schemes which are available on ASML scanners. To analyze the effects of lens heating on the final imaging, a model was developed by the lens manufacturer Carl Zeiss SMT GmbH, and incorporated into a litho simulation environment by ASML BRION. This tool can be used to analyze the impact of dose/throughput, illumination shapes and reticle layout on aberrations. It provides a means to assess potential lens heating issues even before production masks are manufactured. Moreover, this computational tool opens the possibility to calculate parameters for lens heating correction, rather than measuring them, saving valuable machine time. In this paper, the performance of the novel computational lens heating control is demonstrated on wafer and compared with the traditional way of measuring the relevant parameters. In addition, a modeling study is performed to assess possible lens heating effects for freeform or non-traditional source shapes, thereby demonstrating the advanced correction potential of ASML latest aberration manipulator, called FlexWaveTM.
An aberration control of projection optics for multi-patterning lithography
Yasuhiro Ohmura, Taro Ogata, Toru Hirayama, et al.
In order to realize further improvement of productivity of semiconductor manufacturing, higher throughput and better imaging performance are required for the exposure tool. Therefore, aberration control of the projection lens is becoming more and more important not only for cool status performance but also heating status. In this paper, we show the improvements of cool status lens aberration, including scalar wavefront performance and polarization aberration performance. We also discuss various techniques for controlling thermal aberrations including reduction of heat in the lens, simulation, compensating knob, and adjusting method with actual imaging performance data during heating and cooling.
Computational Lithography
icon_mobile_dropdown
Fine calibration of physical resist models: the importance of Jones pupil, laser bandwidth, mask error and CD metrology for accurate modeling at advanced lithographic nodes
Seongho Moon, Seunghune Yang, Artem Shamsuarov, et al.
In this paper, we discuss the accuracy of resist model calibration under various aspects. The study is done based on an extensive OPC dataset including hundreds of CD values obtained with immersion lithography for the sub-30 nm node. We address imaging aspects such as the role of Jones matrices, laser bandwidth and mask bias. Besides we focus on the investigation on metrology effects arising from SEM charging and uncertainty between SEM image and feature topography. For theses individual contributions we perform a series of resist model calibrations to determine their importance in terms of relative RMSE (Root Mean Square Error) and it is found that for the sub-30 nm node they all are not negligible for accurate resist model calibration.
Innovative Lithography Process Control: Joint Session with Conference 7971
icon_mobile_dropdown
Stability and calibration of overlay and focus control for a double patterning immersion scanner
Masahiko Yasuda, Shinji Wakamoto, Hiroto Imagawa, et al.
To achieve the 2 nm overlay accuracy required for double patterning, we have introduced the NSR-S620D immersion scanner that employs an encoder metrology system. The key challenges for an encoder metrology system include its stability as well as the methods of calibration. The S620D has a hybrid metrology system consisting of encoders and interferometers, in XY and Z. The advantage of a hybrid metrology system is that we can continuously monitor the position of the stage using both encoders and interferometers for optimal positioning control, without any additional metrology requirements or throughput loss. To support this technology, the S620D has various encoder calibration functions that make and maintain the ideal grid, and control focus. In this paper we will introduce some of the encoder calibration functions based on the interferometer. We also provide the latest performance of the tool, with an emphasis on overlay and focus control, validating that the NSR-S620D delivers the necessary levels of accuracy and stability for the production phase of double patterning.
Advanced CDU improvement for 22nm and below
Tomoharu Fujiwara, Tsuyoshi Toki, Daishi Tanaka, et al.
ArF water immersion lithography is expected to be used down to the 22nm hp node or below. However, such advancements in technology nodes have led to extremely small process margins. This necessitates more accurate means of process control. CD uniformity of the photo-resist (PR) image is affected by many sources. In the case of the exposure tool-CD error on the reticle, as well as exposure dose and focus errors are the key factors. For the PR process, heterogeneity of the stacked PR film thickness, post exposure bake (PEB) plate temperature, and development have an impact. Further, the process wafer also has error sources that include under-layer uniformity and wafer flatness. Fortunately, the majority of these factors is quite stable in a volume production process and can be compensated for by adjusting exposure dose and focus in the scanner. A technique to calculate exposure dose and focus correction values simultaneously from the measured PR image feature was reported previously [1]. In addition, a demonstration of a correction loop using a neural network calculation model was reported in SPIE 2010 [2], and the corrected CD uniformity was less than 1.5 nm (3-sigma) within the wafer. In this paper, we will report the latest CD uniformity correction results achieved with the NSR-S620D ArF immersion scanner using correction values estimated by scatterometry and CD-SEM. The method of correction using CD-SEM is newly developed. A maximum of nine parameters extracted from the PR profile are used in this correction. In general, the CD variation of an isolated line pattern caused by focus error is more sensitive than that of a dense pattern. Thus, we estimate the focus error from the isolated pattern, with the dose error estimated using both isolated and dense patterns. The Nikon CDU Master then derives the optimal control parameters for each compensation function in the scanner using the exposure dose and focus correction data, and the NSR-S620D is able to control higher order dose and focus distribution. This advanced level of control capabilities enables precise correction of the complicated CD error distribution that is caused by heterogeneities in the process.
Combined overlay, focus and CD metrology for leading edge lithography
Martin Ebert, Hugo Cramer, Wim Tel, et al.
As leading edge lithography moves to 22-nm design rules, low k1 technologies like double patterning are the new resolution enablers, and system control and setup are the new drivers to meet remarkably tight process requirements. The way of thinking and executing setup and control of lithography scanners is changing in four ways. First, unusually tight process tolerances call for very dense sampling [1], which in effect means measurements at high throughput combined with high order modeling and corrections to compensate for wafer spatial fingerprint. Second, complex interactions between scanner and process no longer allow separation of error sources through traditional metrology approaches, which are based on using one set of metrology tools and methods for setup and another for scanner performance control. Moreover, setup and control of overlay is done independently from CD uniformity, which in effect leads to independent and conflicting adjustments for the scanner. Third, traditional CD setup and control is based on the focus and dose calculated from their CD response and not from measurement of their effect on pattern profile, which allows a clean and orthogonal de-convolution of focus and dose variations across the wafer. Fourth, scanner setup and control has to take into consideration the final goal of lithography, which is the accurate printing of a complex pattern describing a real device layout. To this end we introduce a new setup and control metrology step: measuring-to-match scanner 1D and 2D proximity. In this paper we will describe the strategy for setup and control of overlay, focus, CD and proximity based on the YieldStarTM metrology tool and present the resulting performance. YieldStar-200 is a new, high throughput metrology tool based on a high numerical aperture scatterometer concept. The tool can be used stand-alone as well as integrated in a processing track. It is suitable for determining process offsets in X,Y and Z directions through Overlay and Focus measurements respectively. In addition CD profile information can be measured enabling proximity matching applications. By using a technique [2][3][4] to de-convolve dose and focus based on the profile measurement of a well-characterized process monitor target, we show that the dose and focus signature of a high NA 193nm immersion scanner can be effectively measured and corrected. A similar approach was also taken to address overlay errors using the diffraction based overlay capability [5] of the same metrology tool. We demonstrate the advantage of having a single metrology tool solution, which enables us to reduce dose, focus and overlay variability to their minimum non-correctable signatures. This technique makes use of the high accuracy and repeatability of the YieldStar tool and provides a common reference of scanner setup and user process. Using ASML's YieldStar in combination with ASML scanners, and control solutions allows for a direct link from the metrology tool to the system settings, ensuring that the appropriate system settings can be easily and directly updated.
Correcting image placement errors using registration control (RegC) technology
The 2009 ITRS update specifies wafer overlay control as one of the major tasks for the sub 40 nm nodes. Wafer overlay is strongly dependent on mask image placement error (registration errors or Reg errors)1 in addition to CD control and defect control. The specs for registration or mask placement accuracy are twice as difficult in some of the double patterning techniques (DPT). This puts a heavy challenge on mask manufacturers (mask shops) to comply with advanced node registration specifications. Registration test masks as well as production masks were measured on a standard registration tool and the registration error was calculated and plotted. A specially developed algorithm was used to compute a correction lateral strain field that would minimize the registration error. A laser based prototype RegCTM tool was used to generate a strain field which corrected for the pre measured registration errors. Finally the post registration error map was measured. The resulting residual registration error field with and without scale and orthogonal errors removed was calculated. In this paper we present first results of registration control experiments using the prototype RegCTM tool.
Mask and Layout Optimization
icon_mobile_dropdown
Optical lithography applied to 20-nm CMOS Logic and SRAM
Achieving 20nm designs with 193nm lithography is difficult even with immersion technology. At 20nm, the metal-1 pitch will be ~64nm, which is well below the 80nm limit for single exposure. In this work we extend on our earlier results [1-4] to show simulation-based patterning of both SRAMs and logic cells. This is consistent with the emerging industry consensus that regular designs and multiple exposure techniques will extend 193nm immersion as far down as 7nm [5]. The approach relies on 1D Gridded Design Rules with Lines/Cuts (1D GDR LC) selective double patterning. Due to the highly regular patterns of 1D GDR LC we are able to determine a sharp lithographic optimum as a result of numerical co-optimization of key layout parameters and lithography settings such as scanner illumination, etc. including realistic scanner capability. Critical layers (holes/cuts in 1D GDR LC) consist of a number of identical hole/cut patterns with varying density. We propose a novel algorithm for full-chip proximity correction of such critical layers. The algorithm consists of 1) a source-mask optimization step (SMO) to choose optimal scanner settings for a class of designs using standard cells, followed by 2) a final correction step applied to the entire layout to determine individual sizing for each cut to componsate for its optical/process environment. This procedure converges rapidly in our test cases producing close to 0nm CD error for each cut. Several test designs including one with approximately 100k transistors using ~20 cells from a standard cell library including both SRAM and logic cells were used, with good convergence obtained in all cases. Out procedure is a combination of an SMO step followed by cuts-OPC, the equivalent to OPC applied to cuts of 1D GDR LC designs. The procedure scales linearly with layout area and can be efficiently applied to full-chip designs.
3D lithography modeling for ground rule development
Chandra Sarma, Todd Bailey, Adam Lyons, et al.
The ability to incorporate the effect of patterned underlayers in a 3-dimensional physical resist model that truly mimics the process on real wafers could be used to formulate robust ground rules for design. We have shown as an example block level simulations, where the resist critical dimension is determined by the presence of STI (shallow trench isolation) and/or patterned gate level underneath & their relative spacing, as confirmed on wafer. We will demonstrate how the results of such study could be used for creating ground rules which are truly dependent on the interaction between the current layer resist & the patterned layers underneath. We have also developed a new way to visualize lithographic process variations in 3-D space that is useful for simulation analysis that can prove very helpful in ground rule development and process optimization. Such visualization capability in the dataprep flow to flag issues or dispose critical structures increases speed and efficiency in the mask tapeout process.
Mask enhancer technology with source mask optimization (SMO) for 2Xnm-node logic layout gate fabrication
Takashi Matsuda, Shigeo Irie, Tadami Shimizu, et al.
Strong resolution enhancement technologies (RETs) combined with hyper-NA ArF immersion lithography with source and mask optimization (SMO) have become necessary to achieve sufficient resolution in 2Xnm node devices. Conventional SMO methods have focused on minimizing the edge placement error and/or the cost functions of dose, focus, and mask errors. This has not, however, resolved the conflict between line and gap patterns on logic gate layouts. One issue remaining in particular is the mask error enhancement factor (MEEF). Furthermore, the pattern shapes at the line end gaps of SRAM gates remain a major challenge for logic device manufacturers. To overcome these problems, we explain the importance of controlling the light intensity profiles at line end gaps, focusing on a Panasonic product called "Mask Enhancer" that comprises an attenuated mask with a phase shifting aperture and enables light intensity profiles to be controlled easily. We demonstrate the product's effectiveness in printing gates with optimized illumination source shapes. A simulation experiment and a feasibility study confirmed that Mask Enhancer can improve the MEEF and pattern shapes at the line ends of SRAM gates.
Evaluation of a new model of mask topography effects
Christophe Pierrat
A new method capable of fast and accurate computation of mask topography effect was described and evaluated using a broad range of test structures. Results are presented for 1D and 2D test structures as well as for advanced OPC masks such as the one generated by inverse lithography or source-mask optimization software tools. The 1D test structures is a line and space test pattern with line width of 40nm, 60nm, 80nm, 100nm, 120nm the space width varying from 40nm to 1000nm. The RMS of the difference between the model and rigorous simulations is 3.6E-3 for 27,420 points correspond to all the combinations of line widths and space widths. The standard deviation of the CD difference between the model and the rigorous calculation, calculated for 5 thresholds (0.1, 0.11, 0.12, 0.13, and 0.14) and for all the structures, is 0.48nm. For a simple 2D test pattern, the mask fields are computed using rigorous calculation and compared to the model. The difference between the fields is within the error of the rigorous calculation. The resulting wafer images are almost identical with no re-scaling of the data was applied to either the mask fields or the wafer images. To study the applicability of the model to more complex patterns we have converted a contact level layout into an inverse mask and the new mask model was applied to the data in order to simulate the wafer image.
High-performance intensity slope correction method for global process variability band improvement and printability enhancement in RET applications
Sergiy M. Komirenko
A qualitatively new method applicable for process variability band (PVband) improvement and printability enhancement in resolution enhancement technique (RET) applications is reported. The method does not use costly simulations through the process window (PW). Instead, it utilizes a unique feedback mechanism derived from the intensity distribution information available during nominal optical proximity correction (OPC) simulation. Consequently, this Intensity Slope Correction (ISC) method provides superior performance as compared to traditional process window aware OPC tools. Preliminary results are presented. They suggest that this method can successfully be applied for improvement of integral PVband throughout the entire layout leading to global printability optimization.
Optical/DFM: Joint Session with Conference 7974
icon_mobile_dropdown
Contact patterning strategies for 32nm and 28nm technology
Bradley Morgenfeld, Ian Stobert, Ju j An, et al.
As 193 nm immersion lithography is extended indefinitely to sustain technology roadmaps, there is increasing pressure to contain escalating lithography costs by identifying patterning solutions that can minimize the use of multiple-pass processes. Contact patterning for the 32/28 nm technology nodes has been greatly facilitated by just-in-time introduction of new process enablers that allow the simultaneous support of flexible foundry-oriented ground rules alongside highperformance technology, while also migrating to a single-pass patterning process. The incorporation of device based performance metrics along with rigorous patterning and structural variability studies were critical in the evaluation of material innovation for improved resolution and CD shrink along with novel data preparation flows utilizing aggressive strategies for SRAF insertion and retargeting.
Source and Mask Optimization II
icon_mobile_dropdown
Polarization holograms for source-mask optimization
A new technique is introduced to replace DOEs that are used for illumination in lithographic projectors with polarization computer generated holograms (PCGHs) that produce both arbitrary intensity and arbitrary polarization state in the illumination pupil. The additional capability of arbitrary polarization state adds an additional degree of freedom for source-mask optimization. The PCGHs are similar in design and construction to DOEs, but they incorporate polarizationsensitive elements. Three experiments are described that demonstrate different configurations of PCGHs deigned to produce a tangentially polarized ring. Measurements of ratio of polarization and polarization orientation indicate that all three configurations performed well. Experimetns are performed with visible (λ = 632.8nm) light.
Extending SMO into the lens pupil domain
As semiconductor lithography is pushed to smaller dimensions, the process yields tend to suffer due to subwavelength imaging effects. In response, resolution enhancement technologies have been employed together with optimization techniques, specifically source mask optimization (SMO), which finely tunes the process by simultaneously optimizing the source shape and mask features. However, SMO has a limitation in that it fails to compensate for undesired phase effects. For mask features on the order of the wavelength, the topography of the mask can induce aberrations which bring asymmetry to the focus-exposure matrix (FEM) and ultimately decrease the process window. This paper examines the dependency of FEM asymmetry on factors such as the illumination coherency and lens induced spherical aberration. It is shown that lens induced primary spherical aberration strongly impacts the symmetry of the FEM. In this work, phase correction is achieved by incorporating the pupil plane in an optimization. It is shown that primary spherical aberration can correct for effects including the degraded depth of focus and the tilt in the FEM for a dual trench mask. A pupil function with an optimized coefficient of primary spherical aberration balances the spherical aberration induced by the mask topography.
Enhancing fullchip ILT mask synthesis capability for IC manufacturability
Thomas Cecil, Chris Ashton, David Irby, et al.
It is well known in the industry that the technology nodes from 30nm and below will require model based SRAF / OPC for critical layers to meet production required process windows. Since the seminal paper by Saleh and Sayegh[1][2] thirty years ago, the idea of using inverse methods to solve mask layout problems has been receiving increasing attention as design sizes have been steadily shrinking. ILT in its present form represents an attempt to construct the inverse solution to a constrained problem where the constraints are all possible phenomena which can be simulated, including: DOF, sidelobes, MRC, MEEF, EL, shot-count, and other effects. Given current manufacturing constraints and process window requirements, inverse solutions must use all possible degrees of freedom to synthesize a mask. Various forms of inverse solutions differ greatly with respect to lithographic performance and mask complexity. Factors responsible for their differences include composition of the cost function that is minimized, constraints applied during optimization to ensure MRC compliance and limit complexity, and the data structure used to represent mask patterns. In this paper we describe the level set method to represent mask patterns, which allows the necessary degrees of freedom for required lithographic performance, and show how to derive Manhattan mask patterns from it, which can be manufactured with controllable complexity and limited shot-counts. We will demonstrate how full chip ILT masks can control e-beam write-time to the level comparable to traditional OPC masks, providing a solution with maximized lithographic performance and manageable cost of ownership that is vital to sub-30nm node IC manufacturing.
A study of source mask optimization for logic device through experiment and simulations
Hyo-chan Kim, Jeong-Hoon Lee, Jong-Chan Shin, et al.
Source and Mask co-Optimization (SMO) plays an increasingly important role in the advanced RETs required to continue shrinking designs in the low-k1 lithography regime. Instead of costly double pattering patterning techniques, SMO has been explored as an enabling technology for low-k1 design node. It is clear that intensive optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive solutions in both the mask and the source, which leads to improved lithographic performance. In this work, source and mask shape for logic device have been optimized in order to improve process window of critical layouts which include complex 2D shape and dense contact. Tachyon SMO solution developed by BRION was introduced to obtain the optimization. In order to improve the accuracy of SMO model, AI blur which represents resist effect on wafer was considered during optimization. Based on simulation results, improvement in terms of process window as well as Mask Error Enhancement Factor (MEEF) was approximately 20 % in comparison with reference conditions. However, the corresponding experimental results should be investigated as the evidence of the performance SMO. These results demonstrate the importance of these considerations during optimization in achieving the best possible SMO results which can be applied successfully to the targeted lithography process.
Tools
icon_mobile_dropdown
Practical performance and enabling technologies in immersion scanners for the double patterning generation
Jun Ishikawa, Hirotaka Kohno, Shinji Sato, et al.
At SPIE2010, excellent performance of the cutting edge immersion lithography scanner, the NSR-S620D, which is based on the new "Streamlign" platform was demonstrated. Last year's work focused mainly on machine evaluation data[1]. Now, many S620Ds are employed at customers' sites and being used in device manufacturing. In this paper, the authors will introduce the latest factory data, as well as various techniques that enable superior yield and enhance productivity in IC manufacturing. It is well understood, that in order to achieve further device shrinks without using traditional techniques such as NA expansion or wavelength reduction, several practical issues must be overcome. Extremely tight overlay performance will be required for pitch splitting double patterning, for example. In addition, it is also necessary to control the image plane and the aberration of the optics much more carefully. Of course these improvements must also be achieved with sufficient productivity (throughput). In order to satisfy all of the requirements for mass production at customer factories, many variable factors must be dealt with. One of these variable factors is the characteristics of the processed wafers that include on-flatness, grid distortion, steep topology around the edge, or topography of the previous layers' patterns. These factors typically impact overlay and/or auto focus accuracy. Another variable is the difference in exposure conditions between layers, which include illumination conditions, dose, reticle transmittance, and the alignment marks. Exposure induced heating in particular is the key issue for today's enhanced throughput capabilities, with regards to achieving both optimal accuracy and productivity. In some IC production facilities, and often foundries, many different kinds of products are manufactured in parallel. However, in order to enhance performance and accuracy, it is sometimes necessary to optimize machine parameters for each product. Cleary this requires quick tuning capabilities to minimize overhead affects for each product. As discussed earlier, various techniques must be utilized to minimize the gap between machine inspection data and manufacturing performance at customer sites. This paper discusses such technologies and provides the supporting data.
Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner
Frank Staals, Alena Andryzhyieuskaya, Hans Bakker, et al.
In this paper we describe the basic principle of FlexWave, a new high resolution wavefront manipulator, and discuss experimental data on imaging, focus and overlay. For this we integrated the FlexWave module in a 1.35 NA immersion scanner. With FlexWave we can perform both static and dynamic wavefront corrections. Wavefront control with FlexWave minimizes lens aberrations under high productivity usage of the scanner, hence maintaining overlay and focus performance, but moreover, the high resolution wavefront tuning can be used to compensate for litho related effects. Especially now mask 3D effects are becoming a major error component, additional tuning is required. Optimized wavefront can be achieved with computational lithography, by either co-optimizing source, mask, and Wavefront Target prior to tape-out, or by tuning Wavefront Targets for specific masks and scanners after the reticle is made.
Pupilgram adjusting scheme using intelligent illuminator for ArF immersion exposure tool
Tomoyuki Matsuyama, Naonori Kita, Yasushi Mizuno
Source Mask Optimization1 (SMO) is one of the most important techniques available for extending ArF immersion lithography. However, imaging with a small k1 factor (~0.3 or smaller) is very sensitive to errors in the imaging system, such as lens apodization, process control, mask error, etc. As a result, the real source shape must be re-adjusted to realize expected imaging performance as may be seen, for example, in an OPE curve. The intelligent illuminator can modify the pupilgram with high spatial and intensity resolution in the pupil. But the question is: How to adjust the pupilgram parameters properly to match target OPE? In this paper we present and describe a pupilgram adjusting method that can effectively control the various illuminator parameters. The method uses pupilgram modulation functions, which are similar to Zernike polynomials used in wavefront analysis, to describe the optimal pupilgram adjustment. The resulting modulation can then be realized by the intelligent illuminator. We demonstrate the effect of this method and the relation to minimum pupil resolution and gray scale levels that are needed for the intelligent illuminator to achieve its goals. In addition, a pupil analysis scheme, which is suitable for the applied pupilgram adjustment method, is proposed and validated. Using this method, SMO solutions will be more realistic and practically achievable for extending ArF immersion lithography.
Scanner matching for standard and freeform illumination shapes using FlexRay
IC manufacturers have a strong demand for transferring a working process from one scanner to another. Recently, a programmable illuminator (FlexRayTM) became available on ASML ArF immersion scanners that, besides all the parameterized source shapes of the earlier AerialTM illuminator (based on diffractive optical elements) can also produce any desired freeform source shape. As a consequence, a fabrication environment may have scanners with each of the illuminator types so both FlexRay-to-Aerial and FlexRay-to-FlexRay matching is of interest. Moreover, the FlexRay illuminator itself is interesting from a matching point-of-view, as numerous degrees of freedom are added to the matching tuning space. This paper demonstrates how the upgrade of an exposure tool from Aerial to FlexRay illuminator shows identical proximity behavior without any need for scanner tuning. Also, an assessment of the imaging correspondence between exposure tools each equipped with a FlexRay illuminator is made. Finally, for a series of use-cases where proximity differences do exist, the application of FlexRay source tuning is demonstrated. It shows an enhancement of the scanner matching capabilities, because FlexRay source tuning enables matching where traditional NA and sigma tuning are shortcoming. Moreover, it enables tuning of freeform sources where sigma tuning is not relevant. Pattern MatcherTM software of ASML Brion is demonstrated for the calculation of the optimized FlexRay tuned sources.
Ecology and high-durability injection locked laser with flexible power for double-patterning ArF immersion lithography
ArF immersion technology has been used widely in volume production for 45nm node. For 32nm node and beyond, double patterning technology with ArF immersion lithography is considered to be the main stream solution until EUV is ready. Our target is to reduce CoO(Cost of ownership) and we aim to develop for ecology and high durability laser. We will introduce the latest performance data of the laser built for ArF immersion lithography under the EcoPhoton concept. Eco-photon concept: -CoC (Cost of Consumable) -CoD (Cost of Downtime) -CoE(Cost of Energy & Environment) We have developed flexible and high power injection-lock ArF excimer laser for double patterning, GT62A-1SxE (Max90W/6000Hz/Flexible power with 10-15mJ/0.30pm (E95)) based on the GigaTwin platform5). A number of innovative and unique technologies are implemented on GT62A-1SxE. In addition, GT62A-1SxE is the laser matching the enhancement technology of advanced illumination systems. For example, in order to provide illumination power optimum for resist sensitivity, it has extendable power from 60W to 90W. We have confirmed durability under these concept with the regulated operation condition with flexible power 60-90W. We show the high durability data of GT62A-1SxE with Eco-Photon concept. In addition to the results the field reliability and availability of our Giga Twin series (GT6XA). We also show technologies which made these performances and its actual data. A number of innovative and unique technologies are implemented on GT62A.
Poster Session: Computational Lithography
icon_mobile_dropdown
Hotspot fixing using ILT
For low k1 lithography the resolution of critical patterns on large designs can require advanced resolution enhancement techniques for masks including scattering bars, complicated mask edge segmentation and placement, etc. Often only a portion of a large layout will need this sophisticated mask design (the hotspot), with the remainder of layout being relatively simple for OPC methods to correct. In this paper we show how inverse lithography technology (ILT) can be used to correct selected regions of a large design after standard OPC has been used to correct the simple portions of the layout. The hotspot approach allows a computationally intensive ILT to be used in a limited way to correct the most difficult portions of a design. We will discuss the most important issues such as: model matching between ILT and OPC corrections; transition region corrections near the ILT and OPC boundary region; mask complexity; total combined runtime. We will show both simulated and actual wafer lithographic improvements in the hotspot regions.
Fast algorithm for quadratic aberration model based on cross triple correlation
Wei Liu, Tingting Zhou, Shiyuan Liu
The quadratic aberration model used in optical lithography is a natural extension of the linear model by taking into account interactions among individual Zernike coefficients. Although the model has been tested and verified in many applications, the effects of Zernike coefficients under partially coherent imaging are usually obtained by extensive experiments due to complexity of the model expression. In this paper, a generalized cross triple correlation (CTC) is introduced, and a fast algorithm to simulate the quadratic aberration model is developed. Simulations were performed by the proposed CTC based algorithm with different input Zernike aberrations for binary and phase shift masks with multiple pitches and orientations, which demonstrate that the proposed approach is not only accurate but also efficient for revealing the influence of different Zernike orders on aerial image intensity distributions under partially coherent illumination.
Choosing objective functions for inverse lithography patterning
Inverse lithography which generates model-based patterns theoretically has superior patterning fidelity comparing to conventional rule-based technique. Cost functions are the determinant of performance inverse lithography that is also an optimization problem. However, the design and know-how of cost functions have rarely been discussed. In this paper, we investigate the impacts of various cost functions and their superposition for inverse lithography patterning exploiting a steepest descent algorithm. We research the most generally used objective functions, which are the resist and aerial images, and also deliver a derivation for the aerial image contrast. We then discuss the pattern fidelity and final mask characteristics for simple layouts with a single isolated contact and two nested contacts. Moreover, the convergences which are expressed by edge-placement error (EPE) and contrast versus iteration numbers rapidly attain to steady sate in most hybrid cost functions. All in all, we conclude that a cost function composed of a dominant resist-image component and a minor aerial-image or image-contrast component can carry out a good mask correction and contour targets when using inverse lithography patterning.
Physical conversion of Stokes parameters which are multiplied by a general Mueller matrix into Jones vectors applicable to the lithographic calculation
Hiroshi Nomura, Masanori Takahashi, Suigen Kyoh
Completer polarimetry for immersion lithography equipment that comprises Stokes polarimetry of illumination and Mueller matrix polarimetry of projecting optics had been established. It was found that illumination and projecting optics were slightly different from our expectation. These differences might affect optical proximity correction and source mask optimization. However, no lithographer can desterilize parameter sets from the polarimetry for the use of lithography calculation because of their formats, Stokes parameters and Mueller matrix. Conventional lithography simulators require the Jones vector and Jones matrix only. When the illumination was partial polarization or the projecting optics was partially polarizing or partially depolarizing, the Jones calculus cannot support these situations. The Mueller calculus is needed for the case that involves polarizationdepolarization and depolarization-polarization translations. Previous works showed that actual un-polarization of illumination was somewhat polarized in the scan (y) direction and an actual catadioptric projecting optics has a little degrees of polarizance in the slit (x) direction. On the other hand, if you took the aberration effects into the lithography calculation, you had to use the Jones calculus. Therefore, for the lithography calculation with actual polarization data as well as actual aberration data, a special technique is required to handle these data. This paper describes how to physically convert Stokes parameters, which are multiplied by a general Mueller matrix, into Jones vectors. This method permits us to use the actual polarization data to the lithography calculation.
Poster Session: Double Patterning
icon_mobile_dropdown
Self-aligned triple patterning for continuous IC scaling to half-pitch 15nm
Yijian Chen, Ping Xu, Liyan Miao, et al.
A self-aligned triple patterning (SATP) process is proposed to extend 193nm immersion lithography to half-pitch 15nm patterning. SATP process combines lithography and spacer techniques in a different manner than the conventional selfaligned double patterning (SADP) by keeping the mandrel lines and the second spacers. Compared with other scaling candidates such as self-aligned quadruple patterning (SAQP), it can relax the overlay accuracy requirement of critical layers and reduce their process complexity by using less masks. A 3-mask SATP mandrel recession (SMR) technique is invented to relax the overlay requirement of critical layer patterning. We also successfully demonstrate a 2-mask SATP process concept for patterning critical layers that contain lines/spaces, pads and peripheral circuits, thus opening an opportunity to significantly reduce the process costs. If applied in deep nano-scale IC fabrication, SATP technique will have a fundamental impact on the design methodology of integrated circuits. Using both dry and immersion lithography, we have fabricated half-pitch 21nm and 15nm patterns with a SATP process. It is found that the mandrels (lines) co-defined by lithography and etch processes have worse line width roughness (LWR) than that of spacers, which poses a unique problem to CD control in IC design. As a major focus of our early-stage research, patterning small mandrels/lines in SATP process is a non-trivial challenge. Different materials have been screened and an optimal scheme of mandrel and spacer materials is necessary to meet key requirements (e.g., LER and CDU) of the lithographic performance.
Sidewall spacer quadruple patterning for 15nm half-pitch
Ping Xu, Yongmei Chen, Yijian Chen, et al.
193nm immersion lithography, with the single-exposure resolution limitation of half-pitch 38nm, has extended its patterning capability to about 20nm using the double-patterning technique[1]. Despite the non-trivial sub-20nm patterning challenges, several NAND Flash manufacturers are already pursuing for sub-16nm patterning technology. 25nm NAND flash memory has already begun production in 2010, and given the typical 2-year scaling cycle, sub-16nm NAND devices should see pilot or mass production as early as 2014. Using novel patterning techniques such as sidewall spacer quadruple patterning (upon 120nm to 128nm pitch using dry ArF lithography) or triple patterning (upon 90nm pitch using immersion ArF lithography), we are able to extend optical lithography to sub-16nm half-pitch and demonstrate the lithographic performance that can nearly meet the ITRS roadmap requirements. In this paper, we conduct an in-depth review and demonstration of sidewall spacer quadruple patterning; including 300mm wafer level data of the mean values and CDU along with a mathematical assessment of the various data pools for sub-16nm lines and spaces. By understanding which processes (lithography, deposition, and etch) define the critical dimension of each data pool, we can make predictions of CDU capability for the sidewall spacer quad patterning. Our VeritySEM4i CD SEM tool demonstrated high measurement yield during fully automated measurements, which enables accurate lines, spaces and CDU measurements of the sub-16nm. The patterns generated from the sidewall spacer quadruple patterning techniques are used as a hardmask to transfer sub-16nm lines and spaces patterns to underneath amorphous silicon and silicon oxide layers, or poly silicon layer for 1X STI or poly gate applications.
Spacer defined double patterning for (sub-)20nm half pitch single damascene structures
Janko Versluijs, Yong Kong Siew, Eddy Kunnen, et al.
The spacer defined double patterning (SDDP) approach for 20nm half pitch (HP) single damascene Cu interconnect structures using immersion lithography is being reviewed. Final results on wafer will be shown, focusing on critical double patterning topics such as CD & overlay budget and line edge roughness (LER); and their impact on the electrical functioning of the back-end-of-line test structures. The feasibility of extending the SDDP technique down to 15nm HP structures is also discussed. The 30nm line/space structures patterned in resist, required as a starting point for this exercise, will be patterned using EUV lithography.
Recessive self-aligned double patterning with gap-fill technology
Yijian Chen, Xumou Xu, Yongmei Chen, et al.
In this paper, a recessive self-aligned double patterning (RSADP) process enabled by gap-fill technology is proposed and developed for BEOL applications. FEOL application is also possible by adding gap-fill/CMP steps to reverse the tone of contact/trench patterns. Compared with positive-tone spacer self-aligned double patterning (SADP), RSADP technique can reduce the process complexity by using less masks to pattern 2-D features. With a RSADP process, we successfully demonstrate (half-pitch) 50nm contact and 30nm line/space patterns using dry lithography.
Spatial frequency multiplication techniques towards half-pitch 10nm patterning
Yijian Chen, Yongmei Chen, Liyan Miao, et al.
Novel patterning approaches are explored to enable either more cost-effective manufacturing solutions or a potential paradigm shift in patterning technology. First, a simplified self-aligned quadruple patterning (SAQP) process is developed to extend 193nm immersion lithography to half-pitch 10nm patterning. A detailed comparison with other SAQP schemes is made, and we find the simplified SAQP process can significantly reduce process complexity and costs. On the other hand, the topographic effect on the spacer width causes difficulty in obtaining lines with equal CD, thus a CVD/etch solution must be searched to meet the CDU requirement. Moreover, a motion-induced frequency multiplication (MIFEM) concept is proposed; and specifically, we develop a stress-induced frequency multiplication (SIFEM) technique to produce half-pitch 9nm lines/spaces with no need of ebeam, imprint, or self-assembly technology. It allows us to apply standard semiconductor fabrication processes and equipment to drive down the half pitch of a spatially periodic pattern below 10nm. The resolution of this patterning technique is dependent on the CD of spacers and their gaps regardless of optical resolution of the lithographic tool. The final space CD is mainly related with the material property of the fluid used in SIFEM process. The main issues of SIFEM process include: adjusting the fluid property to tune the gap CD, designing the anchor structures and line route to control the strength and direction of film stress, and overlay methodology development, etc.
Poster Session: FreeForm and SMO
icon_mobile_dropdown
Influence of the illumination source on model-based SRAF placement
Sub-Resolution Assist Features (SRAFs) have been extensively used to improve the process margin for isolated and semi-isolated features. It has been shown that compared to rule-based SRAFs, model-based placement of SRAFs can result in better overall process window. Various model-based approaches have been reported to affect SRAF placements. Even with model-based solutions, the complexity of two-dimensional layouts results in SRAF placement conflicts, producing numerous challenges to optimal SRAF placement for each pattern configuration. Furthermore, tuning of SRAF placement algorithms becomes challenging with varying patterns and sources [1-3]. Recently, pixelated source in optical lithography has become the subject of increased exploration to enable 22/20 nm technology nodes and beyond. Optimization of the illumination shape, including free-form pixelated sources, has shown performance gains, compared to standard source shapes [4-6]. This paper will demonstrate the influence of such different free-form sources as well as conventional sources on model-based SRAF placement. Typically in source optimization, the selection of the optimization patterns is exigent since it drives the source solution. Small differences in the selected patterns produce subtle changes in the optimized source shapes. It has also been previously reported that SRAF placements are significantly dependent on the illumination [1]. In this paper, the impact of changes in the design and/or source optimization patterns on the optimized source and hence on the SRAF placement is reported. Variations in SRAF placements will be quantified as a function of change in the free-form sources. Lithographic performance of the different SRAF placement schema will be verified using simulation.
Comparison of clear-field and dark-field images with optimized masks
Robert Sinn, Thuc Dam, Bob Gleason
Clear-field photo-masks offer significant advantages over dark-field photo-masks for some important classes of target patterns, including small isolated features and dense arrays of contacts. This work compares lithographic performance of clear-field and dark-field images when mask patterns are optimized for respective mask tones. Since the purpose is to study optical behavior, computed images without resist models were compared. In order to explore performance limits, optimized masks were not constrained to limit their complexity. Calculated images were compared for clear-field and dark-field masks, with either opaque or 6% transmission, 180-degree phase-shifted absorbers. In each case, mask patterns were independently optimized to print the targets, which were a set of square and rectangular arrays of contact holes with various dimensions and pitches. The range of the target patterns extended to the limits of ArF resolution with water immersion. Because the intent was to compare inherent optical performance of positive and negative-tone imaging, the study did not use resist models that would combine materials properties or behaviors into the results, but simply applied a constant threshold to calculated intensities to obtain images. Contrast, MEEF, and deviation of images with defocus were the basis of optimizing the mask patterns, and were compared for the four combinations of mask tones and absorbers. Best contrast and MEEF were obtained with bright-field masks that had attenuated, phase-shifting absorbers. The amount of improvement depended on the size of the mask patterns relative to that of their corresponding targets, set here by varying the intensity threshold for the images during mask optimization. Differences in how the images of the four types of masks changed with defocus were statistically insignificant.
Custom source and mask optimization for 20nm SRAM and logic
The 20nm generation for logic will be challenging for optical lithography, with a contacted gate pitch of ~82nm and a minimum metal pitch of ~64nm. A gridded design approach with lines and cuts has previously been shown to allow optimizing illuminator conditions for critical layers in logic designs.[1] The approach has shown good pattern fidelity and is expected to be scalable to the 7nm logic node. [2,3,4] A regular pattern for logic makes the optimization problem straightforward if only standard cells are used in a chip. However, modern SOC's include large amounts of SRAM memory as well. The proposed approach truly optimizes both, instead of the conventional approach of sacrificing the SRAM because of logic layouts with bends and multiple pitches. We consider a design with the logic and SRAMs unified from the beginning. In this case, critical layer orientations as well as pitches are matched and each of the layers optimized for both functional sets of patterns. The layout for a typical standard cell using Gridded Design rules is shown in Figure 1a. The Gate electrodes are oriented in the vertical direction, with Active regions running horizontally. Figure 1b shows a group of SRAM bit cells designed to be compatible with the logic cell. The Gate orientation and pitch are the same. Optimization results will be presented for the co-optimization of critical layers for the cells. The Source-Mask Optimization (SMO) method used can optimize the illumination source [5] and mask for multiple patterns to improve the 2-D image fidelity and process window while controlling the mask sensitivity. It can incorporate the design intentions that are implied by Gridded Design rules. SMO will be done to balance complexity of the source and the complexity of the mask (OPC & MBSRAFs). A flexible approach to the optimization will be introduced.
Improvement of lithography process by using a FlexRay illuminator for memory applications
Thomas Huang, Chun-Yen Huang, Tsann-Bim Chiou, et al.
As is well recognized, source mask optimization (SMO) is a highly effective means of extending the lifetime of a certain photolithography generation without an expensive upgrade to the next generation optical system. More than an academic theory, source optimization first found practical applications in the debut of the pixel-like programmable illuminator in 2009 for producing near freeform illumination. Based on programmed illumination, related studies have demonstrated a nearly identical optical performance to that generated by the conventionally adopted diffractive optical element (DOE) device without the prolonged manufacturing time and relatively high cost of stocking up various DOEs. By using a commercially available pixel-like programmable illuminator from ASML, i.e. the FlexRay, this study investigates the effectiveness of FlexRay in enhancing image contrast and common process window. Before wafer exposure, full SMO and source-only (SO) optimization are implemented by Tachyon SMO software to select the optimum illumination source. Wafer exposure is performed by ASML XT:1950i scanner equipped with a FlexRay illuminator on a critical layer of DRAM process with known hotspots of resist peeling. Pupil information is collected by a sensor embedded in the scanner to confirm the produced source shape against the programmed source and the optically simulated CD. When the FlexRay illuminator is used, experimental results indicate that lithography hotspots are eliminated and depth of focus is improved by as much as 50% in comparison with those from a traditional AERIAL illuminator. Regular focus-exposure matrix (FEM) and the subsequent critical defects scanning reveal that the common process window of the tight-pitched array and the periphery can be enhanced simultaneously with no hotspot identified. Therefore, a programmed source is undoubtedly invaluable in terms of additional manufacturing flexibility and lower cost of ownership when attempting to improve product yield in high volume production.
Quantification of the difference between two sources by Zernike polynomial decomposition
Source Mask Optimization (SMO) technique is an advanced resolution enhancement technique with the goal of extending optical lithography lifetime by enabling low k1 imaging [1,2]. On that purpose, an appropriate source and mask duo can be optimized for a given design. SMO can yield freeform sources that can be realized to a good accuracy with optical systems such as the FlexRay [3],. However, it had been showen that even the smallest modification of the source can impact the wafer image or the process.[4] Therefore, the pupil has to be qualified, in order to measure the impact of any source deformation[5]. In this study we will introduce a new way to qualify the difference between sources, based on a Zernike polynomial decomposition [6]. Such a method can have several applications: from quantifying the scanner to scanner pupil difference, to comparing the source variation depending of the SMO settings etc. The straighforward Zernike polynomial decomposition allow us to identify some classic optical issues like coma or lens aberration.
A simple method of source optimization for advanced NAND FLASH process
Yi-Shiang Chang, Satoshi Ogasawara, Koichi Fujii, et al.
We have developed a very simple source optimization (SO) method for L/S and C/H critical layers patterning of advanced NAND FLASH. Starting from the strong off-axis illumination shape which is optimized for the finest structure of the mask pattern, a systematic procedure is performed to extract the optimum parameters of additional assist sources to balance the imaging performance (DOF, contrast and optical proximity effect, etc.) of dense/sparse/rough patterns. Performance equations (linear optimization) with performance map (sensitivity) are utilized to search the best combination of intensity for each assist source. For C/H pattern, the optimization procedure is modified to solve the non-linearity and non-continuity problems on the relationship between assist source intensity and each imaging performance. Finally, optimized source shapes have been successfully demonstrated and verified on 40 nm node NAND FLASH L/S and C/H critical patterns despite the simplicity of the optimization method, without utilizing SO dedicated software.
Gradient-based fast source mask optimization (SMO)
As lithography still pushing toward to low-k1 region, resolution enhancement techniques (RETs) including source optimization (SO) and mask optimization (MO) are expected to overcome the fundamentally physics in optics. Recently inverse lithography (IL) is widely studied for source and mask optimization (SMO) to enhance the resolution for over diffraction limit integrate circuit (IC) patterns. In this paper, we propose a gradient based SMO algorithm where the SO and MO are two sequential steps due to their different image formation mechanism. Moreover, we employ three cost functions including aerial and resist image and the image contrast which is proposed in our previous work. We show that IL patterns produced by SMO have better pattern fidelity and image contrast than MO only patterns.
Beam shaping: top hat and customized intensity distributions for semiconductor manufacturing and inspection
Ansgar Teipel, Lutz Aschke
Enabling the next technology nodes with optical technologies means further reduced error budgets for optical systems and new optical approaches for higher precision and increased throughput. This contribution discusses important aspects and features of laser beam shaping in optical systems for semiconductor manufacturing and inspection. Beam shaping principles for different types of lasers and illumination requirements are explained.
Source and mask optimization applications in manufacturing
Among available lithography resolution enhancement techniques the Selective Inverse Lithography (SILT) approach recently introduced by authors [1] has been shown to provide the largest process window on lower-NA exposure tools for 65nm contact layer patterning. In present paper we attempt to harness the benefits of source mask optimization (SMO) approach as part of our hybrid RET. The application of source mask optimization techniques further extends the life-span of lower-NA 193nm exposure-tools in high volume manufacturing. By including SMO step in OPC flow, we show that model-based SRAF solution can be improved to approach SILT process variation (PV) band performance. Additionally to OPC, the complexity of embedded flash designs requires a high degree of exposure tool matching and a lithography process optimized for topographically different logic and flash areas. We present a method how SMO can be applied to scanner matching and topography-related optimization.
Hierarchical kernel generation for SMO application
Resolution enhancement technologies (RETs) are so far widely proposed in improving the quality of micro-lithography process. Latest method such as source mask optimization (SMO) is gaining popularity recently. Therefore, high speed simulator is in strong demand for growing computational complexity of RETs. In this work, we demonstrate that our Abbe-PCA method is highly efficient for source configuring and mask tuning using hierarchical pixel-based OPC.
Poster Session: Laser
icon_mobile_dropdown
High-range laser light bandwidth measurement and tuning
Kevin O'Brien, Rui Jiang, Nora Han, et al.
High performance lithography is increasingly demanding light sources to deliver laser light over a much larger range of stabilized bandwidths. The applications range from improved optical proximity correction (OPC) to the high-speed printing of vias and contact holes, through a process called focus drilling. Several advances in light source technology must integrate to provide the improved bandwidth performance required by the industry. This paper will outline three of the core technologies developed by Cymer and integrated into its most advanced XLATM and XLRTM series light sources to meet this need. Novel improvements in line narrowing offer the actuation necessary to tune the bandwidth over the large range. Advanced bandwidth metrology yields accurate measurements of the bandwidth over the wide range. And new controls and feedback algorithms provide the integration to stabilize the bandwidth to the desired target. The result provides laser light bandwidths that can be tuned to and accurately stabilized at any spectral E95 target from 0.3 pm to 1.6 pm, while maintaining all other laser performance parameters. The feature is called focus drilling. Focus drilling extends the utility of Cymer XLA and XLR lasers by adding more flexibility to the light source, allowing the end-user chipmaker to select the exact properties of the laser light necessary for a wider range of process steps. The article will discuss the above technologies and emphasize their important aspects. It will also highlight some of the key performance aspects using data from Cymer's testing. Some of the design features and trade-offs will be provided, and a few of the relevant metrics will be presented and justified. Finally, potential future improvements to the technology will be presented.
DUV light source availability improvement via further enhancement of gas management technologies
Daniel J. Riggs, Kevin O'Brien, Daniel J. W. Brown
The continuous evolution of the semiconductor market necessitates ever-increasing improvements in DUV light source uptime as defined in the SEMI E10 standard. Cymer is developing technologies to exceed current and projected light source availability requirements via significant reduction in light source downtime. As an example, consider discharge chamber gas management functions which comprise a sizable portion of DUV light source downtime. Cymer's recent introduction of Gas Lifetime Extension (GLXTM) as a productivity improvement technology for its DUV lithography light sources has demonstrated noteworthy reduction in downtime. This has been achieved by reducing the frequency of full gas replenishment events from once per 100 million pulses to as low as once per 2 billion pulses. Cymer has continued to develop relevant technologies that target further reduction in downtime associated with light source gas management functions. Cymer's current subject is the development of technologies to reduce downtime associated with gas state optimization (e.g. total chamber gas pressure) and gas life duration. Current gas state optimization involves execution of a manual procedure at regular intervals throughout the lifetime of light source core components. Cymer aims to introduce a product enhancement - iGLXTM - that eliminates the need for the manual procedure and, further, achieves 4 billion pulse gas lives. Projections of uptime on DUV light sources indicate that downtime associated with gas management will be reduced by 70% when compared with GLX2. In addition to reducing downtime, iGLX reduces DUV light source cost of operation by constraining gas usage. Usage of fluorine rich Halogen gas mix has been reduced by 20% over GLX2.
Poster Session: Scanner-Lithography Optimization
icon_mobile_dropdown
Focus drilling for increased process latitude in high-NA immersion lithography
In this paper we discuss a laser focus drilling technique which has recently been developed for advanced immersion lithography scanners to increase the depth of focus and therefore reduce process variability of contact-hole patterns. Focus drilling is enabled by operating the lithography light-source at an increased spectral bandwidth, and has been made possible by new actuators, metrology and control in advanced dual-chamber light-sources. We report wafer experimental and simulation results, which demonstrate a process window enhancement for targeted device patterns. The depth of focus can be increased by 50% or more in certain cases with only a modest reduction in exposure latitude, or contrast, at best focus. Given this tradeoff, the optimum laser focus drilling setting needs to be carefully selected to achieve the target depth of focus gain at an acceptable contrast, mask error factor and optical proximity behavior over the range of critical patterning geometries. In this paper, we also discuss metrology and control requirements for the light-source spectrum in focus drilling mode required for stable imaging and report initial trend monitoring results over several weeks on a production exposure tool. We additionally simulate the effects of higher-order chromatic aberration and show that cross-field and pattern-dependent image placement and critical dimension variation are minimally impacted for a range of focus drilling laser spectra. Finally, we demonstrate the practical process window benefits and tradeoffs required to select the target focus drilling laser bandwidth set-point and increase effectiveness of the sourcemask solution for contact patterning.
Compensation of mask induced aberrations by projector wavefront control
Rigorous simulation of light diffraction from optical and EUV masks predicts phase effects with an aberration like impact on the imaging performance of lithographic projection systems. This paper demonstrates the application of advanced modeling and optimization methods for the compensation of mask induced aberration effects. It is shown that proper adjustment of the wavefront results in significant reduction of best focus differences between different features.
Advanced scanner matching using freeform source and lens manipulators
Jong-Ho Lim, Kyung Kang, Sung-Man Kim, et al.
Proximity matching is a common activity in the wafer fabs1,2,3 for purposes such as process transfer, capacity expansion, improved scanner yield and fab productivity. The requirements on matching accuracy also become more and more stringent as CD error budget shrinks with the feature size as technology advances. Various studies have been carried out, using scanner knobs including NA, inner sigma, outer sigma, stage tilt, ellipticity, and dose. In this paper, we present matching results for critical features of a logic device, between an ASML XT:19x0i scanner and an XT:1700i (reference), demonstrating the advantage of freeform illuminator pupil as part of the adjustable knobs to provide additional flexibility. We also present the investigation of a novel method using lens manipulators for proximity matching, effectively injecting scalar wavefront to an XT:19x0i to mimic the behavior of the XT:1700i lens.
Measurement of wavefront distortions in DUV optics due to lens heating
K. Mann, A. Bayer, U. Leinhos, et al.
Lens heating due to absorbed UV laser radiation can diminish the achievable spatial resolution of the lithographic process in semiconductor wafer steppers. At the Laser Lab Göttingen a measurement system for quantitative registration of this thermal lens effect was developed. It is based upon a strongly improved Hartmann-Shack wavefront sensor with extreme sensitivity, accomplishing precise on-line monitoring of wavefront deformations of a collimated test laser beam transmitted through the laser-irradiated site of a sample. Caused by the temperature-dependent refractive index as well as thermal expansion, the formerly plane wavefront of the test laser is distorted to form a rotationally symmetric valley, being equivalent to a convex lens. The new sensor, which is capable to record relative changes in the range of λ/10000 (corresponding to deformations of < 100 pm), allows registration and precise characterization of induced wavefront distortions by real-time Zernike analysis. On the other hand, the photo-thermal technique can be employed for a rapid assessment of the material quality, since the extent of transient wavefront deformation is directly proportional to the absorption losses. When used in orthogonal test geometry on cuboid samples, quantitative determination of both surface and bulk contributions to the overall absorption can be obtained by comparison with thermal theory. Along with a description of the new technique we present photo-thermal measurements on various fused silica samples under 193 nm irradiation. The data are compared with theoretical results obtained from a semi-analytical solution of the heat diffusion equation. Excellent agreement is achieved regarding both shape and extent of the lens heating effect.
Improved immersion scanning speed using superhydrophobic surfaces
Arun Kumar Gnanappa, Evangelos Gogolides, Emilien Feuillet, et al.
Failure of the receding meniscus during immersion lithography is one of the well known problems. A thin liquid film left behind on the wafer during scanning may generate imaging defects. Liquid loss occurs at the receding meniscus when the smooth substrate is accelerated beyond a critical velocity of approximately 1 m/s. Nanotexturing the surface with average roughness values even below 10 nm results in critical velocity larger than 2.5 m/s, the upper limit of our apparatus. This unexpected increase in critical velocity is observed for both sticky rough hydrophobic and slippery superhydrophobic surfaces. We attribute this large increase in critical velocity to the increased static receding contact angle, air extraction and in increased slip length for such nanotextured surfaces. We have also presented the experimental proof of the hypothesis which shows that the slip length and static receding contact angle as a significant parameters for the enhanced performance of sticky surface. Further the dynamic contact line behavior on surface with regions of varying wetting behaviour was studied. The preliminary result shows that the water droplet retains its meniscus shape as soon as it transits from hydrophobic to superhydrophobic region. The secondary thin streak of entrained water on the hydrophobic region is formed which can be controlled with higher extraction.
New 0.75 NA ArF scanning lithographic tool
Lifeng Duan, Jianrui Cheng, Gang Sun, et al.
A new company in the lithography world, SMEE has developed and produced a prototype wafer exposure tool, with an ArF laser light source. This tool, SMEE SSA600/10, adopted step and scan technology to obtain a large exposure filed and to average optical aberrations for a scanned image to improve CD uniformity and reduce distortion. The maximum numerical aperture is 0.75 and the maximum coherence factor of illumination system is 0.88. The illuminator provides continuously variable conventional and off-axis illumination modes to improve resolution. In this paper, the configuration of the exposure tool is presented and design concepts of the scanner are introduced. We show actual test data such as synchronization accuracy, focus and leveling repeatability, dynamic imaging performance (resolution, depth of focus) and overlay.
Simulation-based scanner tuning using FlexRay capability and scatterometry
Karsten Bubke, Matthias Ruhm, Rafael Aldana, et al.
Differences in imaging behaviour between lithographic systems of the same wavelength result in variations of optical proximity effects (OPE). A way to compensate these irregularities is through scanner tuning. In scanner tuning, scanner specific adjustments are obtained through the determination of scanner knob sensitivities of relevant structures followed by an optimization to adjust the structure CD values to be close to the desired values. Traditionally, scanner tuning methods have relied heavily on wafer-based CD metrology to characterize both the initial mismatch as well as the sensitivities of CDs to the scanner tuning knobs. These methods have proven very successful in reducing the mismatch, but their deployment in manufacturing has been hampered by the metrology effort. In this paper, we explore the possibility of using ASML's LithoTuner PatternMatcher FullChip (PMFC) computational lithography tool to reduce the dependence on wafer CD metrology. One tuning application using flexray illumination instead of traditional scanner knobs is presented in this work; in this application individual critical features in wafer printing are improved without affecting other sites. The limited impact of tuning on other structures is verified through full-chip LMC runs. Potential uses of this technology are for process transfers from one fab to another where the OPC signature in the receiving fab is similar but not identical to the signature of the originating fab. The tuning application is investigated with respect to its applicability in a production environment, including further metrology effort reduction by using scatterometry tools.
Poster Session: Mask/Wafer Topography, Layout, and OPC
icon_mobile_dropdown
Investigating the performance of directional boundary layer model through staged modeling method
Moon-Gyu Jeong, Won-Chan Lee, Seung-Hune Yang, et al.
Generally speaking, the models used in the optical proximity effect correction (OPC) can be divided into three parts, mask part, optic part, and resist part. For the excellent quality of the OPC model, each part has to be described by the first principles. However, OPC model can't take the all of the principles since it should cover the full chip level calculation during the correction. Moreover, the calculation has to be done iteratively during the correction until the cost function we want to minimize converges. Normally the optic part in OPC model is described with the sum of coherent system (SOCS[1]) method. Thanks to this method we can calculate the aerial image so fast without the significant loss of accuracy. As for the resist part, the first principle is too complex to implement in detail, so it is normally expressed in a simple way, such as the approximation of the first principles, and the linear combinations of factors which is highly correlated with the chemistries in the resist. The quality of this kind of the resist model depends on how well we train the model through fitting to the empirical data. The most popular way of making the mask function is based on the Kirchhoff's thin mask approximation. This method works well when the feature size on the mask is sufficiently large, but as the line width of the semiconductor circuit becomes smaller, this method causes significant error due to the mask topography effect. To consider the mask topography effect accurately, we have to use rigorous methods of calculating the mask function, such as finite difference time domain (FDTD[2]) and rigorous coupled-wave analysis (RCWA[3]). But these methods are too time-consuming to be used as a part of the OPC model. Until now many alternatives have been suggested as the efficient way of considering the mask topography effect. Among them we focused on the boundary layer model (BLM) in this paper. We mainly investigated the way of optimization of the parameters for the BLM since the feasibility of the BLM has been investigated in many papers[4][5][6]. Instead of fitting the parameters to the wafer critical dimensions (CD) directly, we tried to use the aerial image (AI) from the rigorous simulator with the electromagnetic field (EMF) solver. Usually that kind of method is known as the staged modeling method. To see the advantages of this method we conducted several experiments and observed the results comparing the method of fitting to the wafer CD directly. Through the tests we could observe some remarkable results and confirmed that the staged modeling had better performance in many ways.
Large scale model of wafer topography effects
Nikolay Voznesenskiy, Hans-Jürgen Stock, Bernd Küchler, et al.
A technique traditionally used for optical proximity correction (OPC) is extended to include topography proximity effects (TPE). Central to this is a thin-mask imaging model capable of addressing very large areas. This compact model being compatible with traditional fast imaging models used in OPC can then be used in standard correction approaches, compensating for both the optical proximity effects and wafer topography proximity effects. Model origin and model form are considered along with calibration process. Capturing ability and performance of the model are numerically evaluated on a number of test patterns. The performance of the model is close to that of models used in the planar case.
Study of model-assisted rule base SRAF for random contact
James Moon, Byoung-Sub Nam, Cheol-Kyun Kim, et al.
In this paper, we will evaluate model assisted rule base SRAF. Model assisted rule base SRAF combines the advantage of both model based SRAF and rule base SRAF to ensure high process margin without the mask making difficulty with stable wafer output. Model will assist in generating a common rule for rule based SRAF. Method to extract the rule from the models will first be discussed. Model assisted rule based SRAF will be applied to 3Xnm DRAM contact. Evaluation and analysis of the simulated and actual wafer result will be discussed. Our wafer result showed that by applying Model assisted rule based SRAF showed nearly equal performance to models based SRAF with clearly better stability and mask fabrication feasibility.
Physical simulation for verification and OPC on full chip level
In this paper, we introduce a rigorous OPC technology that links the physical lithography simulation with the OPC. Firstly, the various aspects of the rigorous OPC, related to process flow, are discussed and the practical feasibility of the embedded rigorous verification is taken into account, which can make the rigorous treatment of the full-chip level possible without any additional manual efforts. We explain an embedded rigorous verification flow and the basic structure of its functionality. Finally, its practical application to real cases is discussed.
Mask data correction methodology in the context of model-based fracturing and advanced mask models
Christophe Pierrat, Larry Chau, Ingo Bork
The current equations used for back-scattering and fogging effect corrections are reviewed in the context of model-based fracturing where shots can overlap and the dose of each shot can be set individually. A new set of equations is proposed and verified. The formulation is shown to lift some restrictions imposed by the older formulation such as the minimum shot size dimension. The new equations validate the idea to use model-based mask data preparation to correct short range and possibly mid-range mask fabrication distortions and let the mask writer correct long range and very long range effects. Using current mask writing equipment, the correction of back-scattering and fogging effects for overlapping shots can be performed accurately if the dose correction of each shot takes into account all the shots. Verification of the theory was performed using directly the modified equations to calculate the dose for each shot. The simulation of the mask image after correction perfectly overlaps the target image defined using short range and midrange simulations.
Optimizing OPC data sampling based on "orthogonal vector space"
Yuyang Sun, Yee Mei Foong, Yingfang Wang, et al.
With shrinking feature sizes and error budgets in OPC models, effective pattern coverage and accurate measurement become more and more challenging. The goal of pattern selection is to maximize the efficiency of gauges used in model calibration. By optimizing sample plan for model calibration, we can reduce the metrology requirement and modeling turn-around time, without sacrificing the model accuracy and stability. With the Tachyon pattern-selection-tool, we seek to parameterize the patterns, by assessing dominant characteristics of the surroundings of the point of interest. This allows us to represent each pattern with one vector in a finite-dimensional space, and the entire patterns pool with a set of vectors. A reduced but representative set of patterns can then be automatically selected from the original full set sample data, based on certain coverage criteria. In this paper, we prove that the model built with 56% reduced wafer data could achieve comparable quality as the model built with full set data.
Tolerance-based OPC and solution to MRC-constrained OPC
Yang Ping, Xiaohai Li, Stephen Jang, et al.
Model based optical proximity correction (MB-OPC) has been widely used in advanced lithography process today. However controlling the edge placement error (EPE) and critical dimension (CD) has become harder as the k1 process factor decreases and design complexity increases. Especially, for high-NA lithography using strong off-axis illumination (OAI), ringing effects on 2D layout makes CD control difficult. In addition, mask rule check (MRC) limits also prevent good OPC convergence where two segment edges are corrected towards each other to form a correction-conflicting scenario because traditional OPC only consider the impact of the current edge when calculating the edge movement. A more sophisticated OPC algorithm that considers the interaction between segments is necessary to find a solution that is both MRC and convergence compliant. This paper first analyzes the phenomenon of MRC-constrained OPC. Then two multiple segment correction techniques for tolerance-based OPC and MRC-constrained OPC are discussed. These correction techniques can be applied to selected areas with different lithographic specifications. The feasibility of these techniques is demonstrated by quantifying the EPE convergence through iterations and by comparing the simulated contour results.
Study of various RET for process margin improvement in 3Xnm DRAM contact
Hak-Yong Sim, Hyoung-Soon Yune, Yeong-Bae Ahn, et al.
As the DRAM node shrinks down to its natural limit, photo lithography is encountering many difficulties. 3Xnm DRAM node seems to be the limit for ArF Immersion. Until the arrival of EUV, double patterning (DPT) or spacer double patterning (SPT) seems like the next solution. But the problem with DPT or SPT is that both increases process step their by increasing the final costs of the device. So limiting the use of DPT or SPT is very important for device fabrication. For 3Xnm DRAM, storage node is one of the candidates to eliminate DPT or SPT process. But this method may cost lower process margin and degradation of pattern image. So, solution to these problems is very crucial. In this study, we will realize storage node (SN) pattern for 3Xnm DRAM node with improved process margin. First we will discuss selection of illumination for optimal condition second, correction of the mask will be introduced. We will also talk about the usage of various RET such as model based assist feature. Value such as DOF, EL and CDU (critical dimension uniformity) will be evaluated and analyzed.
A cost-driven fracture heuristics to minimize external sliver length
In optical lithography, mask pattern is first fractured into basic trapezoids, and then fabricated by the variable shaped beam mask writing machine. Ideally, mask fracture tools aim at both suppressing the trapezoid count to speed up the write time, and minimizing the external sliver length to improve CD uniformity. However, the increasing transistor density, smaller feature sizes, and the aggressive use of resolution enhancement techniques pose new challenges to write time and CD uniformity. In this paper, we propose a fracture heuristics to improve the sliver performance of current commercially available fracturing tools. In the proposed approach, the mask layout is first decomposed into elemental rectangles by the rays emitted from each concave corner. Then, a rectangle combination technique is applied to search and eliminate the external slivers from the polygon boundaries by moving them to the center. This approach guarantees that the resulting trapezoid count approaches the theoretical lower bound. Compared to a current commercially available fracturing tools, our proposed approach effectively reduces the external sliver length by 8% to 13%.
A recursive cost-based approach to fracturing
In microlithography, mask patterns are first fractured into trapezoids and then written with a variable shaped beam machine. The efficiency and quality of the writing process is determined by the trapezoid count and external slivers. Slivers are trapezoids with width less than a threshold determined by the mask-writing tool. External slivers are slivers whose length is along the boundary of the polygon. External slivers have a large impact on critical dimension (CD) variability and should be avoided. The shrinking CD, increasing polygon density, and increasing use of resolution enhancement techniques create new challenges to control the trapezoid count and external sliver length. In this paper, we propose a recursive cost-based algorithm for fracturing which takes into account external sliver length as well as trapezoid count. We start by defining the notion of Cartesian convexity for rectilinear polygons. We then generate a grid-based sampling as a representation for fracturing. From these two ideas we develop two recursive algorithms, the first one utilizing a natural recurrence and the second one a more complex recurrence. Under Cartesian convexity conditions, the second algorithm is shown to be optimal, but with a significantly longer runtime than the first one. Our simulations demonstrate the natural recurrence algorithm to result in up to 60% lower external sliver length than a commercially available fracturing tool without increasing the polygon count.
Full-chip OPC and verification with a fast mask 3D model
Hsu-Ting Huang, Ali Mokhberi, Huixiong Dai, et al.
Mask topography (3D) scattering has to be taken into account for a more accurate solution of optical proximity correction (OPC) to meet the advanced Lithography patterning requirements. We report full-chip OPC and verification with a fast mask 3D model. To compare to the conventional mask model with Kirchhoff approximation, we performed lithography model calibration, OPC correction, and verification on a 40nm half-pitch BEOL metal layer using both approaches. OPC accuracies of both models are evaluated by measuring the critical dimension (CD) data on the printed wafer. OPC time with the fast 3D model is comparable to Kirchhoff model for the studied lithography configurations in this paper. Process windows of post-OPC layout are compared for both approaches.
Overcome the process limitation by using inverse lithography technology with assist feature
Patterning of contact hole using KrF lithography system for the sub 90nm technology node is one of the most challenging tasks. Contact hole pattern can be printed using Off-Axis Illumination(OAI) such as dipole or Quasar or Quadrupole at KrF lithography system. However this condition usually offer poor image contrast and poor Depth Of Focus(DOF), especially isolated contact hole. Sub-resolution assist features (SRAF) have been shown to provide significant process window enhancement and across chip CD variation reduction. The insertion of SRAF in a contact design is mostly done using rule based scripting. However the rule based SRAF strategy that has been followed historically is not always able to increase the process window of these 'forbidden pitches' sufficiently to allow sustainable manufacturing. Especially in case of random contact hole, rule-based SRAF placement is almost impossible task. We have used an inverse lithography technique to treat random contact hole. In this paper we proved the impact of SRAF configuration. Inverse lithography technique was successfully used to treat random contact holes. It is also shown that the experimental data are easily predicted by calibrating aerial image simulation results. Finally, a methodology for optimizing SRAF rules using inverse lithography technology is described. As a conclusion, we suggest methodology to set up optimum SRAF configuration with rule and inverse lithography technology.
Improvement on post-OPC verification efficiency for contact/via coverage check by final CD biasing of metal lines and considering their location on the metal layout
Youngmi Kim, Jae-Young Choi, Kwangseon Choi, et al.
As IC design complexity keeps increasing, it is more and more difficult to ensure the pattern transfer after optical proximity correction (OPC) due to the continuous reduction of layout dimensions and lithographic limitation by k1 factor. To guarantee the imaging fidelity, resolution enhancement technologies (RET) such as off-axis illumination (OAI), different types of phase shift masks and OPC technique have been developed. In case of model-based OPC, to cross-confirm the contour image versus target layout, post-OPC verification solutions continuously keep developed - contour generation method and matching it to target structure, method for filtering and sorting the patterns to eliminate false errors and duplicate patterns. The way to detect only real errors by excluding false errors is the most important thing for accurate and fast verification process - to save not only reviewing time and engineer resource, but also whole wafer process time and so on. In general case of post-OPC verification for metal-contact/via coverage (CC) check, verification solution outputs huge of errors due to borderless design, so it is too difficult to review and correct all points of them. It should make OPC engineer to miss the real defect, and may it cause the delay time to market, at least. In this paper, we studied method for increasing efficiency of post-OPC verification, especially for the case of CC check. For metal layers, final CD after etch process shows various CD bias, which depends on distance with neighbor patterns, so it is more reasonable that consider final metal shape to confirm the contact/via coverage. Through the optimization of biasing rule for different pitches and shapes of metal lines, we could get more accurate and efficient verification results and decrease the time for review to find real errors. In this paper, the suggestion in order to increase efficiency of OPC verification process by using simple biasing rule to metal layout instead of etch model application is presented.
Poster Session: Modeling
icon_mobile_dropdown
Performance of a bilinear photoresist model
Anatoly Burov, Min Shi, Jiang Yan, et al.
A bilinear photoresist model extends the approach of a convolution kernel-style process models to include second-order effects. Using effective acid concentration after post-exposure bake as the latent image we approximate the reactiondiffusion operator as a second order Volterra series. The series expansion is carried out for a calibrated photoresist model. Both the linear kernel and the Bilinear Resist Transfer Function (BRTF) are estimated at the same time using a set of pre-computed training images. The linear kernels of the Volterra series are found to have a Gaussian behavior, while the shape of BRTF appears to vary greatly depending on exact details of photoresist composition. Accuracy of the estimated photoresist operator is studied using process window matching at multiple sets of optical conditions. The estimated operator is applied to a set of validation aerial images and the resultant CD values are compared against full photoresist simulation. The bilinear model performance is found to be within 1 nm of the full photoresist model across the full range of dose and focus values.
Application of an inverse Mack model for negative tone development simulation
Weimin Gao, Ulrich Klostermann, Thomas Mülders, et al.
A negative tone development (NTD) process benefits from the superior imaging performance obtained with light field (LF) masks to print metal and contact layers, resulting in improved process window. In this paper, we introduce an inverse Mack development model to simulate the NTD process and validate its process advantage. Based on this model, a NTD resist model calibration has been carried out and the model results are presented. Various NTD application cases have been studied and the prediction capabilities of simulations are demonstrated: 1) LF+NTD process helps to achieve a broader pitch range and smaller feature size compared to the traditional dark field (DF) with positive tone development (PTD) process. NTD brings a significant improvement in exposure latitude (EL) and MEEF for both line-and-space (L/S) and contact hole (CH) patterns through pitch. 2) The NTD process has been explored for double exposure lithography with extreme off-axis illumination using L/S patterns with horizontal and vertical orientation, respectively, which creates dense contact hole arrays down to a 80 nm pitch. 3) Simulation can also be used to explore new NTD process variances. We have demonstrated the simulations of the NTD model in applications such as printing specific CH or Metal patterns, a dual-tone development process and a combination of source mask optimization (SMO) and NTD to print SRAM patterns at smaller sizes.
A study of quantum lithography for diffraction limit
Since the diffraction limit of Rayleigh criterion hardly creates finer features, the development of technologies beyond the diffraction limit is a key merit without the shorter-wavelength source tool. In this paper, nano-phenomena to beat the Rayleigh criterion are described. For quantum lithography, collective behaviour of N-photon entangled states is modeled and simulated to show the effect of photon entangled states to 3-dimensional arbitrary pattern formation.
Evaluating the performance of DP and EUVL by using analytical equations for resolution of optical lithography with considering required DOF
Masato Shibuya, Kouhei Nogami, Akira Takada, et al.
Since CD has become extraordinary fine, the limited performance has been required for optics. Therefore computational lithography like SMO has been applied. Then it is difficult to evaluate prospectively the fundamental performance of future optical lithography. However prospective evaluation method might be useful to discuss the future lithography. Thus we had already proposed the analytical equations to evaluate resolution of RETs with considering depth of focus[1,2,4]. In this paper, we reconsider and revise the equations and evaluate the fundamental resolution of immersion DPL(Double Patterning Lithography) and EUVL(Extreme Ultra Violet Lithography).
Poster Session: Tool and Process Control
icon_mobile_dropdown
Lithography process control using focus and dose optimisation technique
Nicolas Spaziani, René-Louis Inglebert, Jean Massin
As design rules continue to shrink, it is increasingly important to be able to determine and separate sources of Critical Dimension (CD) errors in order to maintain ever-decreasing process windows. CD errors can mainly be attributed to lack of focus and dose control1. Today some of these errors go undetected and CD changes are corrected by making dose correction to the exposure tool. However, corrections using only dose can lead to significantly smaller process latitudes. Therefore, it is very important that we consider dose and focus as a pair to increase the CD uniformity. The model we use is based on Ausschnitt deconvolution method1, 2. This model calculates the dose and focus errors simultaneously from CD parameters, such as bottom CD and top CD information, measured by a scatterometry measurement tool. We have confirmed that this method controls photoresist shape and photoresist width accurately and reduces the CD variation for 40 nm devices by 50%.
Feasibility study on the mask compensation of gate CD non-uniformity caused by etching process
Wan Ho Kim, Ek Jen Yet, Siew Ing Yet, et al.
It's well known that geometrical features of the chip layout influence the change in critical dimension during etch in macroscopic and microscopic ways but how well those impact could be measured and compensated are still of concern. In this paper, the former factor is trying to be translated in terms of local pattern density measured in a critical radius and the latter one is in terms of distance to the nearest feature. The magnitude of each contribution has been measured for gate process at 180 nm technology node. Increase in local pattern density accompanying the slow etch rate within a certain critical radius results in more than 5 nm CD drop. An attempt to acquire more comprehensive data related to the local pattern density has been made and the chip-scale compensation rule for the real application has been proposed accordingly. Meanwhile, the rising trend of post-etch CD with the increase in distance to the nearest feature is maintained until the distance reaches 12 μm, which is much larger than the optical distance recommended in the photolithography-based OPC setup. The final post-etch CD variation caused by this short-ranged geometrical influence is huge reaching 30 nm so that another challenge should be taken into consideration as the full compensation of the difference will ask you to sacrifice the lithographic process margin.