Proceedings Volume 7969

Extreme Ultraviolet (EUV) Lithography II

Bruno M. La Fontaine, Patrick P. Naulleau
cover
Proceedings Volume 7969

Extreme Ultraviolet (EUV) Lithography II

Bruno M. La Fontaine, Patrick P. Naulleau
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 25 March 2011
Contents: 19 Sessions, 107 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2011
Volume Number: 7969

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7969
  • Invited Session I
  • EUV I: Joint Session with Conference 7972
  • Sources
  • Masks I
  • Optics and Contamination
  • Tools and OPC
  • Masks II
  • EUV II: Joint Session with Conference 7972
  • Resist
  • Masks III
  • Invited Session II
  • Devices
  • Poster Session: Devices
  • Poster Session: Mask
  • Poster Session: Optics
  • Poster Session: Resist
  • Poster Session: Sources
  • Poster Session: Tools
Front Matter: Volume 7969
icon_mobile_dropdown
Front Matter: Volume 7969
This PDF file contains the front matter associated with SPIE Proceedings Volume 7969, including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.
Invited Session I
icon_mobile_dropdown
Printability and inspectability of defects on the EUV mask for sub-32nm half pitch HVM application
Sungmin Huh, In-Yong Kang, Sang-Hyun Kim, et al.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, a full field EUV mask is fabricated to see the printability of various defects on the mask. Programmed pit defect shows that minimum printable size of pits could be 17 nm of SEVD from the AIT. However 23.1nm in SEVD is printable from the EUV ADT. Defect printability and identification of its source along from blank fabrication to mask fabrication were studied using various inspection tools. Capture ratio of smallest printable defects was improved to 80% using optimized stack of metrical on wafer and state-of-art wafer inspection tool. Requirement of defect mitigation technology using fiducial mark are defined.
Modeling the transfer of line edge roughness from an EUV mask to the wafer
Gregg M. Gallatin, Patrick P. Naulleau
Contributions to line edge roughness (LER) from extreme ultraviolet (EUV) masks have recently been shown to be an issue of concern for both the accuracy of current resist evaluation tests as well the ultimate LER requirements for the 22 nm production node. More recently, it has been shown that the power spectral density of the mask-induced roughness is markedly different than that of intrinsic resist roughness and thus potentially serves as a mechanism for distinguishing mask effects from resist effects in experimental results. But the evaluation of stochastic effects in the resist itself demonstrate that such a test would only be viable in cases where the resist effects are completely negligible in terms of their contribution to the total LER compared to the mask effects. Also the results presented here lead us to the surprising conclusion that it is indeed possible for mask contributors to be the dominant source of LER while the spatial characteristics of the LER remain indistinguishable from the fractal characteristics of resist-induced LER.
EUV secondary electron blur at the 22nm half pitch node
In this paper the Arrhenius behavior of blur upon EUV exposure is investigated through variation of the PEB temperature. In this way, thermally activated parameters that contribute to blur (such as acid/base diffusion) can be separated from non-thermally activated parameters (such as secondary electron blur). The experimental results are analyzed in detail using multi-wavelength resist modeling based on the continuum approach and through fitting of the EUV data using stochastic resist models. The extracted blur kinetics display perfectly linear Arrhenius behavior, indicating that there is no sign for secondary electron blur at 22nm half pitch. At the lowest PEB setting the total blur length is ~4nm, indicating that secondary electron blur should be well below that. The stochastic resist model gives a best fit to the current data set with parameters that result in a maximum probability of acid generation at 2.4nm from the photon absorption site. Extrapolation of the model predicts that towards the 16nm half pitch the impact on sizing dose is minimal and an acceptable exposure latitude is achievable. In order to limit the impact on line width roughness at these dimensions it will be required to control acid diffusion to ~5nm.
EUV I: Joint Session with Conference 7972
icon_mobile_dropdown
Development status of EUV resist materials and processing at Selete
Kentaro Matsunaga, Gousuke Shiraishi, Julius Joseph Santillian, et al.
The Selete R&D program evaluates the feasibility of the Extreme ultraviolet (EUV) lithography process for manufacturing semiconductor devices. We therefore conducted a yield analysis of hp-2x-nm test chips by using the EUV1 (Nikon) full-field exposure tool. However, the resist performance did not comply with the stringent requirements of ultimate resolution, sensitivity, and line-width roughness. We subsequently reported two new Selete standard resists (SSRs), i.e., SSR6 and SSR7. SSR6 is the polymer resist used in hp-2x-nm test chip evaluation in which an ultimate resolution of 22 nm line-and-space (L/S) pattern was achieved. SSR7 is the first molecular resist that was evaluated for feasibility at Selete. SSR7 is a fullerene based resist with strong etching durability. By using this resist, an ultimate resolution of 24 nm L/S pattern was achieved. We have also evaluated resist processing by using SSRs for hp-2x-nm test chip evaluation. An ultrathin underlayer was evaluated for the improvement of pattern transferability. This optimized ultrathin underlayer was coated on the test chip substrate that was devoid of nano-sized-pinholes, and a fine pattern was observed on this ultrathin underlayer. In the evaluation of the development process, SSRs were evaluated with tetramethylammonium hydroxide (TMAH) and tetrabutylammonium hydroxide (TBAH) developer solutions. In summary, it was clear that the lithographic performance improvement varies depending on the type of polymer resist used with a particular developer solution. Furthermore, a significant improvement in the prevention of pattern collapse was demonstrated using a combination of the TBAH developer solution and alternative rinse solutions.
Comprehensive EUV lithography model
As EUV lithography nears pilot-line stage, photolithography modeling becomes increasingly important in order for engineers to build viable, production-worthy processes. In this paper, we present a comprehensive, calibrated lithography model that includes optical effects such as mask shadowing and flare, combined with a stochastic resist model that can predict effects such as line-edge roughness. The model was calibrated to CD versus pitch data with varying levels of flare, as well as dense lines with varying degrees of mask shadowing. We then use this model to investigate several issues critical to EUV. First, we investigate EUV photoresist technology: the impact of photoelectron-PAG exposure kinetics on photospeed, and then we examine the trade-off between LWR and photospeed by changing quencher loading in the photoresist model. Second, we compare the predicted process windows for dense lines as flare and lens aberrations are reduced from the levels in the current alpha tools to the levels expected in the beta tools. The observed interactions between optical improvements and resist LWR indicate that a comprehensive model is required to provide a realistic evaluation of a lithography process.
Additive-loaded EUV photoresists: performance enhancement and the underlying physics
Vikram K. Daga, Ying Lin, James J. Watkins, et al.
A series of molecular glasses (MGs) protected with multiple tert-butoxylcarbonylmethyl (tBCM) groups are employed as additives to enhance extreme ultra violet (EUV) photolithographic performance of a hydroxystyrene based Environmentally Stable Chemically Amplified Photoresist (ESCAP). The tBCM groups deprotect to form carboxylic acids that are capable of hydrogen bonding with chain segments of the polymer resist. This approach enables a systematic study of the governing physics underlying the improved lithographic performance. While MGs inhibit solubility in all cases, we find that differences in the structure of the MGs can significantly affect the photoacid diffusivity. In our ongoing optimization of the structure and loading of MGs, photoacid generators (PAGs), and base quenchers, 25 nm to 30 nm resolution has been achieved. The structure-property relationships and the synergistic effects of employing small, multi-functional additives in the polymeric photoresists are studied using various characterizations.
Sources
icon_mobile_dropdown
100W 1st generation laser-produced plasma light source system for HVM EUV lithography
Hakaru Mizoguchi, Tamotsu Abe, Yukio Watanabe, et al.
We reported 1st generation Laser-Produced Plasma source system "ETS" device for EUV lithography one year ago1). In this paper we update performance status of the 1st generation system. We have improved the system further, maximum burst power is 104W (100kHz, 1 mJ EUV power @ intermediate focus), laser-EUV conversion efficiency is 2.5%. Also continuous operation time is so far up to 8 hours with 5% duty cycle is achieved. We have investigated EUV plasma creation scheme by small experimental device which is facilitated 10Hz operation (maximum). We have proposed double pulse method to create LPP plasma efficiently. This moment we found out 3.3% conversion efficiency operation condition. Based on the engineering data of ETS and small experimental device, now we are developing 2nd generation HVM source; GL200E. The device consists of the original concepts (1) CO2 laser driven Sn plasma, (2) Hybrid CO2 laser system that is combination of high speed (>100kHz) short pulse oscillator and industrial cw-CO2, (3) Magnetic mitigation, and (4) Double pulse EUV plasma creation. The preliminary data are introduced in this paper.
Gas-based spectral filter for mitigating 10.6 um radiation in CO2 laser produced plasma extreme ultraviolet sources
Next generation high volume manufacturing lithography tools will likely use CO2 laser produced plasma sources to generate extreme ultraviolet (EUV) radiation needed for resist exposures. Existing mitigation techniques for out-of-band radiation from these sources result in reduced EUV (13.5 nm) transmission to the resist plane which decreases desired throughput. New methods to suppress the 10.6 μm radiation, which dominates the out-of-band spectrum at the intermediate focus (IF), need to be examined. A spectral filter design that uses an infrared absorbing gas to target the mitigation of 10.6 μm in these EUV tools may provide another alternative to suppress the unwanted radiation. This work explores infrared absorption of gases at 10.6 μm while focusing on gaseous sulfur hexafluoride (SF6) whose υ3 infrared active mode is vibrationally excited by 10.6 μm photons. A compact tunable CO2 laser is used to measure the room temperature, low fluence absorption of SF6 in the range of 10.53-10.65 μm. In addition, the EUV transmission of SF6 as a function of pressure is estimated based on the absorption cross section measured for wavelengths between 11-17 nm. Design considerations such as the EUV transmission vs. infrared absorption tradeoff are discussed.
Cooled EUV collector optics for LPP and DPP sources
X. Bozec, L. Moine, R. Wevers, et al.
For several years Sagem has invested in technologies and engineering to develop innovative solutions for collecting optics for LPP and DPP EUV sources. Among the technological challenges for collecting mirrors, thermal control plays a very important role in avoiding degradation of in band EUV reflectivity and maintaining far field optical performance during scanner operation. Sagem proposes solutions based on a metallic mirror with embedded cooling circuits to stabilize the temperature of the mirror during source operation. Results of simulation as well as first technology validations obtained on prototypes will be shown to demonstrate the performance of the cooled mirror design. Another critical performance about the collector is the HSFR (micro roughness) requirements of the optical surface for limiting scattering and optimizing the in band EUV reflectivity. Thanks to the specific polishing process, HSFR values of below 2 Angstroms have been achieved on demonstrator metallic mirrors with high departure aspheres. Reflectivity measurements performed after coating of these parts with MoSi multilayers confirm that the obtained polishing quality is compliant with polishing specifications for high performance EUV optics. Finally, upcoming developments at Sagem in the field of EUV collecting optics will be presented.
Enabling the 22nm node via grazing incidence collectors integrated into the DPP source for EUVL HVM
G. Bianucci, A. Bragheri, G. L. Cassol, et al.
Media Lario Technologies (MLT) has enabled the Extreme Ultraviolet Lithography (EUVL) roadmap with its grazing incidence collectors installed in all DPP sources since 2006. Furthermore, with several 100 WIF capable production grazing incidence collectors shipped in 2010, MLT is ready to support the start of High Volume Manufacturing (HVM). With a point-source collection efficiency of 25% and 6 kW power loading capability, the 9-shell collector design is capable of delivering 100 W in-band EUV power through the intermediate focus aperture. The customized reflective layer and the debris mitigation technology enable the 1-year lifetime objective under full production operating conditions. Integration of the grazing incidence collector in XTREME technologies' (XT) DPP source attached to ASML's NXE:3100 scanner has provided initial validation of the optical, thermal, and lifetime design objectives. In full HVM regime, we anticipate that the collector power loading will progressively reach 20 kW to enable 500 W inband EUV peak power at intermediate focus. We have started the development of a thermal management design maintaining the current optical stability with a collector power loading of 30 kW, thus meeting the aggressive HVM requirements.
High-brightness LPP source for actinic mask inspection
S. Ellwi, F. Abreau
EUV actinic mask inspection requires a light source with high brightness, high uptime and a small footprint. Adlyte Corporation has developed reliable, compact and cost-effective EUV sources for mask metrology and inspection applications with potential to be extended for scanner high volume manufacturing. The EUV source will generate high brightness of up to 1 kW/mm2·sr. An industry-proven high power Nd:YAG laser irradiates high-frequency tin droplets with 1.6 kW of power in short pulses. For extended operational lifetime and with high reliability, the collector integrates two methods to mitigate ionic and neutral debris, and actively manages the thermal load. Latest operational data will be presented.
Combined effects of pre-pulsing and target geometry on efficient EUV production from laser produced plasma experiments and modeling
A. Hassanein, T. Sizyuk, V. Sizyuk, et al.
Laser produced plasmas (LPP) is currently a promising source of an efficient extreme ultraviolet (EUV) photon source production for advanced lithography. Optimum laser pulse parameters with adjusted wavelength, energy, and duration for simple planar or spherical tin target can provide 2-3% conversion efficiency (CE) in laboratory experiments. These values are also in good agreement with modeling results. Additional effects such as targets with complex geometry and tin-doped targets using pre-pulsing of laser beams can significantly increase CE. Recent studies showed that such improvements in LPP system are due to reduction in laser energy losses by decreasing photons transmission (higher harmonic of Nd:YAG laser) or photons reflection (for CO2 laser). Optimization of target heating using pre-pulses or ablating low-density and nanoporous tin oxide can further improve LLP sources by creating more efficient plasma plumes and as a result increasing CE, the most important parameter for EUV sources. The second important challenge in developing LPP devices is to decrease fast ions and target debris to protect the optical collection system and increase its lifetime. We investigated the combined effects of pre-pulsing with various parameters and different target geometries on EUV conversion efficiency and on energetic ions production. The much higher reflectivity of CO2 laser from a tin target leads to two possible ways for system improvement using pre-pulses with shorter laser wavelengths or using more complex targets geometries with special grooves as developed previously by the authors.
Masks I
icon_mobile_dropdown
Replicated mask surface roughness effects on EUV lithographic patterning and line edge roughness
Simi A. George, Patrick P. Naulleau, Eric M. Gullikson, et al.
To quantify the roughness contributions to speckle, a programmed roughness substrate was fabricated with a number of areas having different roughness magnitudes. The substrate was then multilayer coated. Atomic force microscopy (AFM) surface maps were collected before and after multilayer deposition. At-wavelength reflectance and total integrated scattering measurements were also completed. Angle resolved scattering based power spectral densities are directly compared to the AFM based power spectra. We show that AFM overpredicts the roughness in the picometer measurements range. The mask was then imaged at-wavelength for the direct characterization of the aerial image speckle using the SEMATECH Berkeley Actinic Inspection Tool (AIT). Modeling was used to test the effectiveness of the different metrologies in predicting the measured aerial-image speckle. AIT measured contrast values are 25% or more than the calculated image contrast values obtained using the measured rms roughness input. The extent to which the various metrologies can be utilized for specifying tolerable roughness limits on EUV masks is still to be determined. Further modeling and measurements are being planned.
EUVL alternating phase shift mask
Pei-Yang Yan, Alan Myers, Yashesh Shroff, et al.
Extreme ultra-violet Lithography (EUVL) alternating phase shift mask (APSM) or other optical enhancement techniques are likely needed for 16nm (half pitch) technology generation and beyond. One possible option is the combination of EUVL and APSM. The fabrication of EUVL APSM is more difficult than either the fabrication of an EUVL binary mask or a conventional optical APSM mask. In the case of EUVL APSM, the phase difference in the two regions (0 and 180-degree phase regions) is created by a phase step in the substrate prior to the multilayer (ML) coating. The step height that induces 180-degree phase mismatch in the ML is determined by [λ/(4cosθ)](2m+1), where m are integers (0, 1, 2,...). In this experiment, we targeted for a step height with m=1. The same mask design also contains the standard binary structures so that the comparison between the EUVL APSM and the EUVL binary mask can be performed under the same illumination and wafer process conditions. The EUVL APSM mask was exposed using Nikon's EUV1 scanner in Kumagaya Japan. The wafer level results showed higher dense line resolution for EUVL APSM as compared to that of EUVL binary mask. APSM also showed improved line width roughness (LWR) and depth of focus (DoF) as compared to the best EUVL binary results obtained with C-dipole off-axis illumination (OAI). The wafer CD resolution improvement obtained by APSM in this experiment is partially limited by the resist resolution and the mask phase edge spread during ML deposition. We believe that wafer CD resolution and can further be improved with imaging imbalance compensation mask design and improvements in resist resolution and the phase generation portion of the mask fabrication process. In this paper, we will discuss in detail the mask fabrication process, wafer level data analysis, and our understanding of EUVL APSM related issues.
AIMS EUV: the actinic aerial image review platform for EUV masks
Dirk Hellweg, Johannes Ruoff, Alois Herkommer, et al.
EUV mask infrastructure is of key importance for the introduction of the 13.5nm extreme ultraviolet (EUV) wavelength into volume production. In particular, the manufacturing of defect free masks is essential and requires a printability analysis ("review") of potential defect sites. For this purpose, Carl Zeiss and the SEMATECH EUVL Mask Infrastructure consortium have performed a concept and feasibility study for an actinic aerial image metrology system (AIMS™). In this paper, we discuss the main results of this study. We explain the system concept, discuss the expected performance and show simulations of the capability to find minimum sized defects. We demonstrate that our EUV AIMS concept is technically feasible and supports the defect review requirements for the 22nm and 16nm half-pitch (hp) node.
SEMATECH's infrastructure for defect metrology and failure analysis to support its EUV mask defect reduction program
V. Jindal, C. C. Lin, J. Harris-Jones, et al.
Mask blank defects have been one of the top challenges in the commercialization of extreme ultraviolet (EUV) lithography. To determine defect sources and devise mitigation solutions, detailed characterization of defects is critical. However, small defects pose challenges in metrology scale-up. SEMATECH has a comprehensive metrology strategy to address any defect larger than a 20 nm core size to obtain solutions for defect-free EUV mask blanks. This paper will outline challenges in the metrology of current defects on EUV mask blanks and metrology issues that arise with increasingly smaller defects. Further, we will illustrate SEMATECH's approach and existing capabilities, including a state-of-the-art metrology toolset to analyze EUV mask blank defects. These capabilities include, but are not limited to, Auger spectroscopy and high resolution transmission electron microscopy (TEM) analysis, which enables SEMATECH to study nanoscale defects. The newly established Auger tool at SEMATECH can run a standard 6-inch mask blank and is already providing important information on sub-100 nm defects on EUV blanks. Complementary to Auger analysis, TEM provides ultimate resolution in the defect imaging of sub-nanometer structures. Crystalline and phase information generated by this metrology technique also indicates the sources of defects. SEMATECH's TEM capability is further equipped with energy dispersive X-ray spectroscopy (EDS) and electron energy loss spectroscopy (EELS), which provide higher analytical power than similar techniques in traditional secondary electron microscopy (SEM).
Evaluation of EUV mask defect using blank inspection, patterned mask inspection, and wafer inspection
The key challenge before EUVL is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI (patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199nm wavelength patterned mask inspection tool, and wafer EB inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection developed at Selete, are effective in detecting killer defects both at the main pattern and at light-shield border area.
Optics and Contamination
icon_mobile_dropdown
The NIST EUV facility for advanced photoresist qualification using the witness-sample test
Before being used in an extreme-ultraviolet (EUV) scanner, photoresists must be qualified to ensure that they will not excessively contaminate the scanner optics or other parts of the vacuum environment of the scanner. At the National Institute of Standards and Technology we have designed and constructed a high-throughput beamline on the Synchrotron Ultraviolet Radiation Facility (SURF III) in order to provide data on the contamination potential of the outgas products of a candidate resist by simultaneously irradiating a witness substrate and a nearby resist-coated wafer with EUV radiation, the so called witness sample test that is currently the resist qualification method required by ASML. We will present results from four sample resists that were subjected to the test. Although the witness-sample test based on irradiating the resist with EUV radiation at 13.5 nm most closely reproduces conditions in a scanner, the limited availability of suitable EUV sources to conduct such tests has led to development of an alternative method which uses e-beam irradiation in place of EUV radiation. We will also present the results of a comparison of these two methods.
Influence of environments on the footprint of particle contamination on EUV mask
Tae-Gon Kim, Els Kesters, Herbert Struyf, et al.
Even if particles are removed still a residue or "footprint" is left behind. Such a footprint may in itself be large enough to become a printable defect. Footprint of silica and PSL particles with diameters of 100 nm which were deposited on Si surface and were stored at different environments was measured by atomic force microscope. Their particle removal forces also were measured. Footprint of silica and PSL particles and their particle removal forces represented that silica particles as an inorganic type particle were very sensitive humidity and it needs high removal force when the silica particle aged at higher humidity level and longer storage time. On the other hand, PSL particles as an organic type particle were not sensitive humidity, but footprints were observed even at low humidity condition and short storage time. This study could help to improve the understanding of particle adhesion on EUV mask and might help to improve mask life time longer.
Optics contamination studies in support of high-throughput EUV lithography tools
We report on optics contamination rates induced by exposure to broad-bandwidth, high-intensity EUV radiation peaked near 8 nm in a new beamline at the NIST synchrotron. The peak intensity of 50 mW/mm2 allows extension of previous investigations of contamination by in-band 13.5 nm radiation at intensities an order of magnitude lower. We report nonlinear pressure and intensity scaling of the contamination rates which is consistent with the earlier lower-intensity studies. The magnitude of the contamination rate per unit EUV dose, however, was found to be significantly lower for the lower wavelength exposures. We also report an apparent dose-dependent correlation between the thicknesses as measured by spectroscopic ellipsometry and XPS for the carbon deposits created using the higher doses available on the new beamline. It is proposed that this is due to different sensitivities of the metrologies to variations in the density of the deposited C induced by prolonged EUV irradiation.
A simple modeling of carbon contamination on EUV exposure tools based on contamination experiments with synchrotron source
M. Shiraishi, T. Yamaguchi, A. Yamazaki, et al.
Contamination control of optics is one of critical issues for extreme ultraviolet (EUV) lithography. EUV irradiation under a carbon-containing environment causes carbon contaminations on mirror surfaces. We investigated irradiance dependency of contaminating rates of some contaminants using a synchrotron radiation of Saga Light Source (SAGALS). Decane's contaminating rate increased proportionally with irradiance, while perfluorohexane's contaminating rate was almost constant at a higher irradiance than 10 mW/cm2. We then introduced a simple model: contamination reaction occurs when photons are supplied onto contaminants which are supplied and adsorbed on mirrors, and the lesser of their supplying rates determines the contaminating rate. At a lower irradiance, since contaminants are sufficiently supplied, the photon supply determines the contaminating rate. At a higher irradiance, since photons are sufficiently supplied, the contaminant supply determines the contaminating rate, which is independent of irradiance and depends on contaminant's partial pressure. We also investigated irradiance dependency of cleaning rates of carbon contamination by oxidative gas and incorporated it into the model. We applied the contamination/cleaning model to an existing exposure tool, EUV1. The transmittance degradation history agreed well with the calculation.
Tools and OPC
icon_mobile_dropdown
Development of EUV lithography tools at Nikon
Katsuhiko Murakami, Tetsuya Oshino, Hiroyuki Kondo, et al.
Exposure performance of projection optics with different flare level was compared in EUV1. Ultimate resolution of EUV1 was evaluated using alternate phase shift mask and resist modulation was obtained down to 16nmL/S. Modeling of carbon contamination growth and cleaning was established based on exposure experiments using a synchrotron source. Based on the modeling, in-situ cleaning condition using oxygen in EUV1 was optimized. As a result, carbon contamination growth in EUV1 was completely suppressed. Optical design of projection optics with numerical aperture of over 0.4 was investigated. 6-mirror system with central obscuration seems to be promising. EUV actinic wavefront metrology scheme without using a synchrotron source, which can be used as on-body wavefront metrology, was developed and its practicality was demonstrated.
Resolution capability of SFET with slit and dipole illumination
A high-resolution EUV exposure tool is needed to facilitate the development of EUV resists and masks. Since the EUV small-field exposure tool (SFET) has a high numerical aperture (NA = 0.3), low aberration & flare, and excellent stage stability, it should be able to resolve fine L/S patterns for the half-pitch 22-nm & 16-nm nodes. In this study, we evaluated the resolution capability of the SFET and obtained 22-nm L/S patterns with x-slit illumination and clear modulation of 16-nm L/S patterns with x-dipole illumination. The resolution limit of the SFET seems to be about 15 nm. The main cause of pattern degradation in 16-nm L/S is probably resist blur. To obtain good shapes for this pattern size, the resist blur of less than 3.5 nm (σ) is required. The use of y-slit illumination was found to reduce the linewidth roughness (LWR) of resist patterns. Further reduction of the LWR requires a higher image contrast and a smaller flare. Due to the central obscuration, the image contrast of the SFET is sensitive to the change of pupil fill. The degradation in the collector & DMT should be reduced to ensure stable aerial images. This work was supported in part by NEDO.
The SEMATECH Berkeley MET: extending EUV learning down to 16nm half pitch
Christopher N. Anderson, Lorie Mae Baclea-An, Paul E. Denham, et al.
Several high-performing resists identified in the past two years have been exposed at the 0.3-numerical-aperture (NA) SEMATECH Berkeley Microfield Exposure Tool (BMET) with an engineered dipole illumination optimized for 18-nm half pitch. Five chemically amplified platforms were found to support 20-nm dense patterning at a film thickness of approximately 45 nm. At 19-nm half pitch, however, scattered bridging kept all of these resists from cleanly resolving larger areas of dense features. At 18-nm half pitch, none of the resists were are able to cleanly resolve a single line within a bulk pattern. With this same illumination a directly imageable metal oxide hardmask showed excellent performance from 22-nm half pitch to 17-nm half pitch, and good performance at 16-nm half pitch, closely following the predicted aerial image contrast. This indicates that observed limitations of the chemically amplified resists are indeed coming from the resist and not from a shortcoming of the exposure tool. The imageable hardmask was also exposed using a Pseudo Phase-Shift-Mask technique and achieved clean printing of 15-nm half pitch lines and modulation all the way down to the theoretical 12.5-nm resolution limit of the 0.3-NA SEMATECH BMET.
Investigation of EUV tapeout flow issues, requirements, and options for volume manufacturing
Although technical issues remain to be resolved, EUV lithography is now a serious contender for critical layer patterning of upcoming 2X node memory and 14nm Logic technologies in manufacturing. If improvements continue in defectivity, throughput and resolution, then EUV lithography appears that it will be the most extendable and the cost-effective manufacturing lithography solution for sub-78nm pitch complex patterns. EUV lithography will be able to provide a significant relaxation in lithographic K1 factor (and a corresponding simplification of process complexity) vs. existing 193nm lithography. The increased K1 factor will result in some complexity reduction for mask synthesis flow elements (including illumination source shape optimization, design pre-processing, RET, OPC and OPC verification). However, EUV does add well known additional complexities and issues to mask synthesis flows such as across-lens shadowing variation, across reticle flare variation, new proximity effects to be modeled, significant increase in pre-OPC and fracture file size, etc. In this paper, we investigate the expected EUV-specific issues and new requirements for a production tapeout mask synthesis flow. The production EUV issues and new requirements are in the categories of additional physical effects to be corrected for; additional automation or flow steps needed; and increase in file size at different parts in the flow. For example, OASIS file sizes after OPC of 250GigaBytes (GB) and files sizes after mask data prep of greater than three TeraBytes (TB) are expected to be common. These huge file sizes will place significant stress on post-processing methods, OPC verification, mask data fracture, file read-in/read-out, data transfer between sites (e.g., to the maskshop), etc. With current methods and procedures, it is clear that the hours/days needed to complete EUV mask synthesis mask data flows would significantly increase if steps are not taken to make efficiency improvements. Therefore, we also analyze different options for reducing or alleviating the EUV specific issues mentioned above and the expected cost/benefit tradeoffs associated with these options. The options include understanding the accuracy vs. run-time benefit of different rule-based and model-based approaches for several correction issues; predicting the implications and improvements expected with different flow automation options; and estimating possible productivity improvements with different flow parallelization choices and upcoming multi-core processors. Optimal combinations of options and accuracy/effort/runtime results can be seen to enable EUV lithography tapeout flows to achieve equal or better total time when compared to current 193nm optical lithography tapeout flow times.
EUV flare and proximity modeling and model-based correction
The introduction of EUV lithography into the semiconductor fabrication process will enable a continuation of Moore's law below the 22 nm technology node. EUV lithography will, however, introduce new and unwanted sources of patterning distortions which must be accurately modeled and corrected on the reticle. Flare caused by scattered light in the projection optics is expected to result in several nanometers of on-wafer dimensional variation, if left uncorrected. Previous work by the authors has focused on combinations of model-based and rules-based approaches to modeling and correction of flare in EUV lithography. Current work to be presented here focuses on the development of an all model-based approach to compensation of both flare and proximity effects in EUV lithography. The advantages of such an approach in terms of both model and OPC accuracy will be discussed. In addition, the authors will discuss the benefits and tradeoffs associated with hybrid OPC approaches which mix both rules-based and modelbased OPC. The tradeoffs to be explored include correction time, accuracy, and data volume.
EUV OPC for 56nm metal pitch
For the logic generations of the 15 nm node and beyond, the printing of pitches at 64nm and below are needed. For EUV lithography to replace ArF-based multi-exposure techniques, it is required to print these patterns in a single exposure process. The k1 factor is roughly 0.6 for 64nm pitch at an NA of 0.25, and k1 ≈ 0.52 for 56nm pitch. These k1 numbers are of the same order at which model based OPC was introduced in KrF and ArF lithography a decade or so earlier. While we have done earlier work that used model-based OPC for the 22nm node test devices using EUV,1 we used a simple threshold model without further resist model calibration. For 64 nm pitch at an NA of 0.25, the OPC becomes more important, and at 56nm pitch it becomes critical. For 15 nm node lithography, we resort to a full resist model calibration using tools that were adapted from conventional optical lithography. We use a straight shrink 22 nm test layout to assess post-OPC printability of a metal layer at pitches at 64 nm and 56 nm, and we use this information to correct test layouts.
Masks II
icon_mobile_dropdown
Phase defect printability and actinic dark-field mask blank inspection capability analyses
Phase defect printability and phase defect detection capability were investigated by exposure and inspection experiments, and simulation analysis. A new test mask with absorber line patterns and programmed phase defects with sizes much smaller than used in a previous work, was exposed using dipole illumination. Simulation results showed that printability of phase defects at the wafer level depended not only on defects' sizes and their locations, or on the line widths of the pattern structures to be printed, but also depended on the illumination conditions employed for pattern printing. Actinic inspection test was also conducted using the programmed phase defect arrays formed on the test mask. Selete's upgraded dark-field inspection tool was demonstrated to have its capability to detect a bump defect of 1.2 nm in height and 40 nm in width at a detection probability of 90 % or larger. An extendibility of the actinic dark-field inspection to beyond half-pitch 22 nm node was also confirmed.
EUV masks under exposure: practical considerations
This paper focuses on the practical side of EUV mask metrology and use. Mask metrics such as film thickness, material properties, feature profile, critical feature size, line edge/width roughness (LER/LWR) and defect levels are measured and monitored on the mask. Any variability in mask properties will be transferred to wafer print results. EUV masks have no pellicle and will be cleaned between exposures to extend use. This additional processing creates new opportunity for modifications to the mask after qualification. This paper quantifies mask variability and the induced change to printed wafer critical dimension (CD). The results are compared to the 56nm wafer pitch targets for CD and LER. This EUV-specific effort is required to determine how close EUV masks are to meeting manufacturing requirements and whether there are areas of development that require additional focus from the industry.
Towards defect free EUVL reticles: carbon and particle removal by single dry cleaning process and pattern repair by HIM
N. B. Koster, F. T. Molkenboer, E. van Veldhoven, et al.
We report on our findings on EUVL reticle contamination removal, inspection and repair. We show that carbon contamination can be removed without damage to the reticle by our plasma process. Also organic particles, simulated by PSL spheres, can be removed from both the surface of the absorber as well as from the bottom of the trenches. The particles shrink in size during the plasma treatment until they are vanished. The determination of the necessary cleaning time for PSL spheres was conducted on Ru coated samples and the final experiment was performed on our dummy reticle. Finally we show that the Helium Ion Microscope in combination with a Gas Injection System is capable of depositing additional lines and squares on the reticle with sufficient resolution for pattern repair.
Feasibility of EUVL thin absorber mask for sub-32nm half pitch patterning
EUV lithography is the leading candidate for sub-32nm half-pitch device manufacturing. EUV Pre-Production Tool (PPT) is expected to be available at the end of 2010. As EUVL era comes closer, EUVL infrastructure has to get mature including EUVL mask stack. To reduce HV CD bias which comes from shadowing effect, thin mask stack has been considered. We presented that EUVL mask with 58nm absorber height shows same printing performance with conventional EUVL mask with 80nm absorber height in our previous work. CD change and pattern damage at the exposure field edges due to light leakage from the neighboring fields were also demonstrated. In this paper, optimal mask stack which shows lower H-V CD bias than conventional structure using 70-nm-thick absorber is proposed. To find minimized absorber height for sub-32nm pattering experimentally, printing result of conventional mask and thin mask stack with 1:1 L/S patterns will be compared. Further-on, we demonstrate the printing result of the reticle which is designed to minimize CD error at the exposure field edges due to mask black border reflectivity by reducing reflectivity from the absorber. All the wafers are exposed at ASML Alpha Demo Tool (ADT) and Pre-Production Tool (PPT) S-litho EUV is used for simulation.
Current status of EUV mask blanks and LTEM substrates defectivity and cleaning of blanks exposed in EUV ADT
Arun John Kadaksham, Byunghoon Lee, Matt House, et al.
The defectivity of EUV mask blanks remains as one of the key challenges in EUV lithography. Mask blank defects are a combination of defects or particles added on the substrate, added during MoSi multilayer deposition, and during subsequent handling. A recent upgrade to the Lasertec M7360 at SEMATECH has enabled us to detect new defects (sub-30 nm SEVD (Sphere Equivalent Volume Diameter)) on the substrate that were not previously detectable. In this paper, we report our recent investigation of defects on low thermal expansion material (LTEM) substrates and their creation and removal. Data obtained with atomic force microscope (AFM) imaging of defect topography, scanning electron microscope/energy-dispersive spectroscopy (SEM/EDS), and Auger characterization of defect composition is also discussed. Cleaning of mask particles which may have been added by handling in a clean room environment with the ASML Alpha Demo Tool (ADT) with and without static EUV exposure is discussed. Particle contamination on the backside of EUV masks can potentially impact overlay or focus during exposure. We have developed cleaning processes capable of removing backside defects without contaminating the front side of the masks. Backside defects are characterized by AFM, SEM/EDS, and auger microscopy and their topography and composition are presented.
An EUV Fresnel zoneplate mask-imaging microscope for lithography generations reaching 8 nm
We present the potential optical performance capabilities of a next-generation extreme ultraviolet (EUV) mask-imaging microscope, based on the proven optical principle of the SEMATECH Berkeley Actinic Inspection Tool (AIT), but surpassing it in every performance metric. The new synchrotron-based tool, referred to here as the SEMATECH Berkeley Actinic Imaging Tool at 0.5 NA (AIT5) will enable research on multiple generations of EUV lithography design rules. The proposed microscope features an array of user-selectable Fresnel zoneplate lenses with diffractionlimited quality and different optical properties, such as numerical aperture (NA) and magnification. An efficient all-EUV optical system with variable high magnification and direct EUV detection provides images with the highest possible signal-to-noise ratio. A lossless, customizable-coherence illuminator based on angle-scanning mirrors and an ellipsoidal condenser creates arbitrary pupil fill patterns, with partial coherence σ values up to 1.0 at 0.5 4×NA and higher. In combination with rotated zoneplate objective lenses, the illuminator will be capable of a range of discrete azimuthal angles as well, modeling the behavior of EUV steppers across a ring-field of view.
EUV II: Joint Session with Conference 7972
icon_mobile_dropdown
Understanding EUV resist dissolution characteristics and its impact to RLS limitations
Carlos Fonseca, Brian Head, Hideo Shite, et al.
In this work we present insights into RLS trade-offs by combining experimental data mining and resist modeling and simulation techniques with a development rate monitor (DRM). A DRM provides experimentally-determined dissolution characteristics for a given resist process and potentially can be used to produce a more accurate model description of the process. This work presents experimentally-determined dissolution characteristics for ultra-thin (50nm) EUV resist films as a function of material type and developer conditions and their impact to RLS trade-offs. Resist models are created with DRM data for its dissolution characteristics and used in subsequent simulations to gain fundamental understanding of EUV lithographic performance. In addition to typical lithographic quality metrics (exposure latitude, DOF), the interaction of resist properties (ie, de-protection kinetics and dissolution) with processing techniques are also discussed. Finally, a description of the RLS trade-off with respect to resist properties and process conditions is discussed.
Impact of polymerization process on OOB on lithographic performance of a EUV resist
Vipul Jain, Suzanne M. Coley, Jung June Lee, et al.
Several approaches have been used to minimize LWR in advanced resists. Various polymer and matrix properties, such as polymer molecular volume and free volume fraction, polymer dissolution, impact of activation energy of the deprotection reaction and distribution of small molecules in the polymer matrix have been shown to influence the functional behavior of the resist. We have developed polymerization methods to improve the incorporation and homogeneity of monomers, including PAG monomer, in an EUV resist polymer. Further, we report on use of a new cation which imparts reduced OOB character and a 30% improvement in LWR for a 28nm L/S feature with sensitivity of 10mJ/cm2 versus a control containing the TPS cation. Additionally this new material is capable of 21nm resolution. We also tested the new cation for outgassing by RGA and observed a 60% reduction in outgassing versus a TPS control.
Line width roughness control and pattern collapse solutions for EUV patterning
Karen Petrillo, George Huang, Dominic Ashworth, et al.
Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and resolution in the resist patterning process has improved. Another critical feature that has become difficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in EUVL and leading-edge ArF immersion lithography. This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes, etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development activities and industry requirements for both LWR and pattern collapse.
Resist
icon_mobile_dropdown
Out of band radiation effects on resist patterning
Simi A. George, Patrick P. Naulleau
Our previous work estimated the expected out-of-band (OOB) flare contribution at the wafer level assuming that there is a given amount of OOB at the collector focus. We found that the OOB effects are wavelength, resist, and pattern dependent. In this paper, results from rigorous patterning evaluation of multiple OOB-exposed resists using the SEMATECH Berkeley 0.3-NA MET are presented. A controlled amount of OOB is applied to the resist films before patterning is completed with the MET. LER and process performance above and at the resolution limit and at the resolution limits are evaluated and presented. The results typically show a negative impact on LER and process performance after the OOB exposures except in the case of one resist formulation, performance improvement was observed.
Directly patterned inorganic hardmask for EUV lithography
Jason K. Stowers, Alan Telecky, Michael Kocsis, et al.
This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.
CD uniformity improvement for EUV resists process: EUV resolution enhancement layer
Hyun-Woo Kim, Hai-Sub Na, Chang-Min Park, et al.
Extreme ultra violet (EUV) resists have been developed to be able to print sub-30nm L/S features with EUV alpha DEMO tool (ADT) having 0.25NA. However, a lithographic performance of EUV resist is not comparable to that of DUV resist. At same process constant (k1), the imaging capability of EUV resist is poor than that of DUV resists. The most critical issues are line width roughness (LWR) and critical dimension (CD) variation across a field. Although there are many studies to improve the LWR of EUV resist, the issue on CD variation across a field is not much explored, because the problem can be detected at full field exposure. In this paper, sources of the CD variation across a field are mainly investigated, and solutions to improve the CD uniformity are explored. Out of band (OOB) radiation and its reflectivity at REticle MAsking (REMA) unit of scanner or absorber of mask is regarded as one of the sources which aggravates imaging quality of EUV resist. In addition, the optical density of black border at EUV wavelength is also known to have an impact on this CD variation. Although the exact spectrum of OOB radiation is not open, LASER produced plasma (LPP) type source and discharge produced plasma (DPP) type source are believed to have the OOB radiation. Therefore, to improve pattern fidelity and LWR of EUV resist, the mitigation of OOB radiation impact is required. It is found that the resist sensitivity to DUV compared to EUV is important, and this property affects on CD uniformity. Furthermore, new material which can mitigate the OOB radiation impact is developed. This material is applied as an additional layer on conventional EUV resist film, and shows no intermixing. Process window is not changed by applying this layer. The filtering ability of OOB radiation is explored. LWR and pattern fidelity are much improved by applying this material to EUV process.
Photoresist shrinkage effects at EUV
Volumetric mechanical resist shrinkage is shown to cause pattern distortions and profile footing. The shrinkage-induced pattern distortions include corner rounding effects and are essentially the explanation for the corner rounding bias previously observed by Anderson et al.[1]. Two previously-studied mechanisms for resist shrinkage are described: SEM-induced shrinkage and deprotection-induced shrinkage. A third mechanism, shrinkage induced at post-apply bake is also described. Experiments indicate that SEM-induced shrinkage accounts for the vast majority of shrinkage and pattern distortion while deprotection-induced shrinkage, although present, does not contribute significantly to pattern distortion. Shrinkage due to post-apply bake was not observed and thought to be insignificant. A three-dimensional model for shrinkage, based on the standard elastostatic problem in solid mechanics was implemented into a lithography simulator. The model was able to predict two dimensional pattern distortions similar to those observed experimentally.
LWR improvement in EUV resist process
Chawon Koh, Hyun-Woo Kim, Sumin Kim, et al.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. The roughness of both the resist sidewall (line width roughness [LWR]) and resist top must be overcome soon for EUVL to be implemented. Currently, LWR can vary by about 1 nm according to the recipe used. We have characterized two promising techniques to improve LWR, an EUV rinse/TBAH process and an implant process, and demonstrated their efficacy. After cleaning inspection (ACI), LWR was improved with both the rinse and implant processes. After development inspection (ADI), LWR improved (0.12 nm, 2.4%) and ACI LWR improved (0.1 nm, 2.0% improvement) after using the EUV rinse process. ADI and ACI LWR improvement (0.45 nm, 9.1%, and 0.3 nm, 6.9%, respectively) was demonstrated with the EUV rinse/TBAH process. ADI LWR improvement (0.5 nm, 8.1%) and ACI LWR improvement (-0.5 nm, -16.9%) were characterized with the implant process. Critical dimension (CD) showed similar changes through pitch after the EUV rinse or TBAH process, but the degree of change depended on the initial pattern size giving CD difference of 2 nm between 30 nm HP and 50 nm HP after the implant process. For this technique, the dependence of CD change on pattern size must be minimized. Further extensive studies with rinse or implant are strongly encouraged for continued LWR improvement and real process implementation in EUVL. Demonstrating <2.2 nm LWR after pattern transfer is important in EUVL and needs to be pursued using various technical approaches. Initial resist LWR is important in assessing LWR improvements with additional process techniques. An initial EUV LWR < ~5.0 nm is required to properly assess the validity of the technique. Further study is required to improve ADI LWR and maintain better LWR after etch with advanced EUV rinse materials. Defects also need to be confirmed following the EUV rinse and TBAH developer. Further developing the implant process should focus on LWR improvement at low frequencies and optimization of process conditions to maintain the EUV resist profile and resist height. The dependence of CD change on pattern size likewise needs to be minimized.
Stochastic exposure kinetics of EUV photoresists: a simulation study
BACKGROUND: The stochastic nature of extreme ultraviolet (EUV) resist exposure leads to variations in the resulting acid concentration, which leads to line-edge roughness (LER) of the resulting features. METHODS: Using a stochastic resist simulator, we predicted the mean and standard deviation of the acid concentration for an open-frame exposure and fit the results to analytical expressions. RESULTS: The EUV resist exposure mechanism of the PROLTIH Stochastic Resist Simulator is first order, and an analytical expression for the exposure rate constant C allows prediction of the mean acid concentration of an open-frame exposure to about 1% accuracy over a wide range of parameter values. A second analytical expression for the standard deviation of the acid concentration also matched the output of PROLITH to within about 1%. CONCLUSIONS: Predicting the stochastic uncertainty in acid concentration for EUV resists allows optimization of resist processing and formulations, and may form the basis of a comprehensive LER model.
Masks III
icon_mobile_dropdown
Modeling the EUV multilayer deposition process on EUV blanks
V. Jindal, P. Kearney, Jenah Harris-Jones, et al.
Extreme ultraviolet lithography (EUVL) is the leading next generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be the most critical technology gap for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction of EUV mask blanks is being pursued using the Veeco Nexus deposition tool. Its defect performance is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH has identified better understanding of the physics of the deposition process as one of the keys to improving the defect performance of Nexus tools. SEMATECH is therefore undertaking an effort to model the physics of the tool backed with an experimental program to characterize the process. The goal is to be able to predict defect performance and defect improvement to direct new tool design. In this paper, we present the results of simulating the deposition rate and uniformity of deposited multilayers and growth of the multilayer on a given defect profile.
Demonstration of defect free EUV mask for 22nm NAND flash contact layer using electron beam inspection system
Takeya Shimomura, Satoshi Kawashima, Yuichi Inazuki, et al.
Fabrication of defect free EUV masks including their inspection is the most critical challenge for implementing EUV lithography into semiconductor high volume manufacturing (HVM) beyond 22nm half-pitch (HP) node. The contact to bit-line (CB) layers of NAND flash devices are the most likely the first lithography layers that EUV will be employed for manufacturing due to the aggressive scaling and the difficulty for making the pattern with the current ArF lithography. To assure the defect free EUV mask, we have evaluated electron beam inspection (EBI) system eXplore™ 5200 developed by Hermes Microvision, Inc. (HMI) [1]. As one knows, the main issue of EBI system is the low throughput. To solve this challenge, a function called Lightning Scan™ mode has been recently developed and installed in the system, which allows the system to only inspect the pattern areas while ignoring blanket areas, thus dramatically reduced the overhead time and enable us to inspect CB layers of NAND Flash device with much higher throughput. In this present work, we compared the Lightning scan mode with Normal scan mode on sensitivity and throughput. We found out the Lightning scan mode can improve throughput by a factor of 10 without any sacrifices of sensitivity. Furthermore, using the Lightning scan mode, we demonstrated the possibility to fabricate the defect free EUV masks with moderate inspection time.
Development of new FIB technology for EUVL mask repair
Fumio Aramaki, Takashi Ogawa, Osamu Matsuda, et al.
The next generation EUVL masks beyond hp15nm are difficult to repair for the current repair technologies including focused ion beam (FIB) and electron beam (EB) in view of the minimum repairable size. We developed a new FIB technology to repair EUVL masks. Conventional FIB use gallium ions (Ga+) generated by a liquid metal ion source (LMIS), but the new FIB uses hydrogen ions (H2+) generated by a gas field ion source (GFIS). The minimum reaction area of H2+ FIB is theoretically much smaller than that of EB. We investigated the repair performance of H2+ FIB. In the concrete, we evaluated image resolution, scan damage, etching rate, material selectivity of etching and actinic image of repaired area. The most important result is that there was no difference between the repaired area and the non-repaired one on actinic images. That result suggests that the H2+ GFIS technology is a promising candidate for the solution to repair the next generation EUVL masks beyond hp15nm.
Printability of buried mask defects in extreme UV lithography
A programmed-defect mask consisting of both bump- and pit-type defects on the LTEM mask substrate has been successfully fabricated. It is seen that pit-type defects are less printable because they are more smoothed out by the employed MLM deposition process. Specifically, all bump-type defects print even at the smallest height split of 1.7 nm whereas pit-type defects print only at the largest depth split of 5.7 nm. At this depth, the largest nonprintable 1D and 2D defect widths are about 23 nm and 64 nm, respectively.
Compensation for EUV multilayer defects within arbitrary layouts by absorber pattern modification
Linyong Leo Pang, Chris Clifford, Peter Hu, et al.
According to the ITRS roadmap, mask defects are among the top technical challenges to introduction of extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. In this paper the method is extended from one-dimensional to two-dimensional patterns by formulating the problem with level-set methods. Since only the top layer profile is measurable a multi-layer growth model is applied to infer the location of the defect and how it distorts the multi-layer reflector. The fast image model is applied to determine how these assumptions influence accuracy of the compensation method.
Invited Session II
icon_mobile_dropdown
EUV lithography at chipmakers has started: performance validation of ASML's NXE:3100
Christian Wagner, Jose Bacelar, Noreen Harned, et al.
With the 1st NXE:3100 being operational at a Semiconductor Manufacturer and a 2nd system being shipped at the time of writing this paper, we enter the next phase in the implementation of EUV Lithography. Since 2006 process and early device verification has been done using the two Alpha Demo Tools (ADT's) located at IMEC in Leuven, Belgium and at the CSNE in Albany, New York, USA. Now process integration has started at actual Chipmakers sites. This is a major step for the development and implementation of EUVL. The focus is now on the integration of exposure tools into a manufacturing flow, preparing high volume manufacturing expected to start in 2013. While last year's NXE:3100 paper focused on module performance including optics, leveling and stages, this years update will, in detail, assess imaging, overlay and productivity performance. Based on data obtained during the integration phase of the NXE:3100 we will assess the readiness of the system for process integration at 27nm hp and below. Imaging performance with both conventional and off-axis illumination will be evaluated. Although single exposure processes offer some relief, overlay requirements continue to be challenging for exposure tools. We will share the status of the overlay performance of the NXE:3100. Source power is a key element in reaching the productivity of the NXE:3100 - its status will be discussed as well. Looking forward to high volume manufacturing with EUV we will update on the design status of the NXE:3300B being introduced in 2012 with a productivity target of 125wph. Featuring a 0.33NA lens and off-axis illumination at full transmission, a half pitch resolution from 22nm to 16nm can be supported. In order to ensure a solid volume ramp-up the NXE:3300B will be built on as many building blocks from the NXE:3100 as possible making optimum use of the NXE platform concept.
Tin LDP source collector module (SoCoMo) ready for integration into Beta scanner
Masaki Yoshioka, Yusuke Teramoto, Jeroen Jonkers, et al.
As the traditional techniques used in optical photolithography at 193 nm are running out of steam and are becoming prohibitively expensive, a new cost-effective, high power EUV (extreme ultra-violet) light source is needed to enable high volume manufacturing (HVM) of ever shrinking semiconductor devices. XTREME technologies GmbH and EUVA have jointly developed tin based LDP (Laser assisted Discharge Plasma) source systems during the last two years for the integration of such sources into scanners of the latest and future generations. The goals of the consortium are 1) to solve the wavelength gap - the growing gap between the printed critical dimensions (CD) driven by Moore's Law and the printing capability of lithographic exposure tools constrained by the wavelength of the light source - and 2) to enable the timely availability of EUV light sources for high volume manufacturing. A first Beta EUV Source Collector Module (SoCoMo) containing a tin based laser assisted discharge plasma source is in operation at XTREME technologies since September 2009. Alongside the power increase, the main focus of work emphasizes on the improvement of uptime and reliability of the system leveraging years of experience with the Alpha sources. Over the past period, a cumulated EUV dose of several hundreds of Mega Joules of EUV light has been generated at the intermediate focus, capable to expose more than a hundred thousand wafers with the right dose stability to create well-yielding transistors. During the last months, the entire system achieved an uptime - calculated according to the SEMI standards - of up to 80 %. This new SoCoMo has been successfully integrated and tested with a pre-production scanner and is now ready for first wafer exposures at a customer's site. In this paper we will emphasize what our innovative concept is against old type of Xe DPP and we will present the recent status of this system like power level, uptime and lifetime of components as well. In the second part of the paper the EUV source developments for the HVM phase are described. The basic engineering challenges are thermal scaling of the source and debris mitigation. Feasibility of the performance can be demonstrated by experimental results after the implementation into the beta system. The feasibility of further efficiency improvement, required for the HVM phase, will also be shown. The objectives of the HVM roadmap will be achieved through evolutionary steps from the current Beta products.
LPP source system development for HVM
Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light sources to support optical imaging of circuit features at sub-22nm nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. The status of first generation High Volume Manufacturing (HVM) sources in production and at a leading semiconductor device manufacturer is discussed. The EUV power at intermediate focus is discussed and the lastest data are presented. An electricity consumption model is described, and our current product roadmap is shown.
Devices
icon_mobile_dropdown
CD correction for half pitch 2x-nm on extreme ultraviolet lithography
This paper describes the critical dimension (CD) accuracy of metal-layer patterns for the 15-nm logic node and beyond replicated with model-based optical proximity correction, flare variation compensation, and shadowing effect correction. The model fitting took resist shrinkage during CD measurements into account so as to reduce the modeling error. Since sufficient accuracy was obtained for various patterns under the assumptions of device production, and since conventional illumination could be used, it was possible to establish a design rule with few restrictions for the 15-nm node. For the 12-nm logic node, an SRAM pattern for a cell size of 0.0288 μm2 was fabricated using dipole illumination. Advanced pre-production optics were used to assess the impact of flare on CD variation. Since chemical flare occurs in SSR4, a top coating was used to prevent acid re-adsorption during the post-exposure bake. The flare due to the optics was reduced to half that of conventional optics, and the CD variation due to flare was found to be predictable from the point spread function of the projection optics. This means that the established concept of flare correction is usable with advanced optics.
Patterning challenges in setting up a 16nm node 6T-SRAM device using EUV lithography
Tom Vandeweyer, Johan De Backer, Janko Versluijs, et al.
Today, 22nm node devices are built using 193nm immersion lithography, possibly combined with double patterning techniques. Some stretch till the 16nm node is feasible here, using double, triple or even quadruple patterning. Alternatively, extreme ultra violet (EUV) lithography is showing promising results, and is considered to be the most likely option for this last mentioned device node. Electrically functional 22nm node devices are already available, where EUV lithography is used for the definition of the back-end layers. Fewer results are published on the patterning of front-end layers using EUV lithography. In this work, EUV lithography is used for the patterning development of the first four critical layers (active or fin, gate, contact and metal1) of a 16nm node 6T-SRAM cell. For the first time, front-end layers will need to be printed, with EUV, and transferred into an underlying substrate. The need for optical proximity correction is checked and characterized for all layers.
Manufacturability of 2x-nm devices with EUV tool
Due to the promising development status of EUVL as a practical lithography technology for the 2x-nm node, we are continuing to evaluate its process liability using the EUV1 at Selete, which has an Off-Axis illumination capability. The resolution limit of the EUV1 for L&S patterns is currently 18 nm for dipole illumination, and 16 nm for aggressive dipole illumination. This study examined the critical points of EUVL for device manufacturing through wafer processes. The yield obtained from electrical measurements indicates the maturity of the technology, including the resist process, the tool, and the mask. Optimization of the resist and RIE processes significantly improved the yield. The final yields obtained from electrical measurements were 100% for hp 30 nm, 70% for hp 28 nm, and 40% for hp 26 nm. These results demonstrate EUV lithography to be a practical technology that is now suitable for 2x nm semiconductor manufacture.
Overlay progress in EUV lithography towards adoption for manufacturing
Jan V. Hermans, David Laidler, Charles Pigneret, et al.
Extreme Ultra-Violet (EUV) lithography is a candidate for semiconductor manufacturing for the 16nm technology node and beyond. Due to the very short wavelength of 13.5nm, EUV lithography provides the capability to continue single exposure scaling with improved resolution and higher pattern fidelity compared to 193nm immersion lithography. However, reducing the wavelength brings new equipment and process challenges. To enable EUV photon transmission through the optical system, the entire optical path of an EUV exposure tool operates under vacuum, and in addition reticle and optics are reflective. To obtain the required CD and overlay performance, both wafer and reticle front surfaces need to have near-perfect flatness, as non-flatness directly contributes to focus and image placement errors, in the case of the reticle due to non-telecentricity. Traditional vacuum chucks, both for reticle and wafer, cannot be used and are replaced by electrostatic chucks. Any contribution of this new clamping method on CD and overlay control therefore needs to be investigated, including avoidance of particle contamination over time. This work was performed on ASML's EUV Alpha Demo Tool (ADT). We investigated the different, non-conventional contributions to overlay control on the ADT, with particular attention to the wafer clamping performance of the exposure chuck. We demonstrate that we were able to improve the overlay performance by compensating for the wafer clamping error during the wafer alignment sequence. The impact of different wafer types on overlay was also evaluated. In addition to clamping effects, thermal effects have also been shown to impact overlay and were evaluated by monitoring the thermal behavior of a wafer during exposure on the ADT and correlating to the resulting overlay.
Poster Session: Devices
icon_mobile_dropdown
Comparison between ADT and PPT for 2X DRAM patterning
Extreme Ultra-Violet (EUV) lithography is almost only solution reachable for next-generation lithography below 30nm half pitch with relative cost competitiveness. In this study, we investigate the feasibility of EUV lithography for applying 2X nm dynamic random access memory (DRAM) patterning. Very short wavelength of 13.5nm adds much more complexity to the lithography process. To understand for challenges of EUV lithography for high volume manufacturing (HVM), we study some EUV specific issues by using EUV full-field scanners, alpha demo tool (ADT) at IMEC and pre-production tool (PPT) at ASML. Good pattern fidelity of 2X nm node DRAM has been achieved by EUV ADT, such as dense line and dense contact-hole. In this paper, we report on EUV PPT performance such as resolution limit, MEEF, across slit CD uniformity (CDU) and focus & exposure latitude margin with 2X nm node DRAM layers in comparison with ADT performance. Due to less flare and aberration of PPT, we have expected that PPT shows good performance.
Shadowing effect modeling and compensation for EUV lithography
Extreme ultraviolet (EUV) lithography is one of the leading technologies for 16nm and smaller node device patterning. One patterning issue intrinsic to EUV lithography is the shadowing effect due to oblique illumination at the mask and mask absorber thickness. This effect can cause CD errors up to a few nanometers, consequently needs to be accounted for in OPC modeling and compensated accordingly in mask synthesis. Because of the dependence on the reticle field coordinates, shadowing effect is very different from the traditional optical and resist effects. It poses challenges to modeling, compensation, and verification that were not encountered in tradition optical lithography mask synthesis. In this paper, we present a systematic approach for shadowing effect modeling and model-based shadowing compensation. Edge based shadowing effect calculation with reticle and scan information is presented. Model calibration and mask synthesis flows are described. Numerical experiments are performed to demonstrate the effectiveness of the approach.
Convergence study for lines, spaces between standard OPC, local, and more holistic OPC
L. Perraud, Y. Trouiller, E. Yesilada, et al.
As the OPC scripts become more and more complex for advanced technology nodes, the number of parameters used to control the convergence increases drastically. This paper does not aim to determine what a "good convergence criteria" is but rather to review the efficiency of the existing OPC solutions in terms of accuracy and parameter dependence, to solve simple design layouts. Three different OPC solutions, including a "standard algorithm", a "local convergence OPC" and a more holistic OPC, are compared on a design containing lines and line-ends. A cost function is used to determine the quality of the convergence for each type of structure. A map of convergence (iteration vs OPC Option) will be deduced for each structure.
Poster Session: Mask
icon_mobile_dropdown
Particle qualification procedure for the TNO EUV reticle load port module of the HamaTech MaskTrackPro cleaning tool
Before new equipment for handling of EUV reticles can be used, it should be shown that the apparatus is qualified for operating at a sufficiently clean level. TNO developed a qualification procedure that is separated into two parts: reticle handling and transport qualification and the qualification of the equipment. A statistical method was developed to include the results of the handling and transport qualification into the qualification criterion for the equipment. As a result we are able to calculate the minimum required experimental effort to prove that the particle contamination levels of the equipment are within the requirements. The qualification procedure was applied to the TNO EUV reticle load port module of the HamaTech MaskTrack Pro cleaning tool. A Particle per Reticle Pass (PRP) between 0.005 and 0.076 for particles ≥ 80nm was measured for the reticle load port module including handling and transport contribution. However, a high number of particles were found in the transport test. As a result a much higher number of repeat cycles (more than a factor 6) were required to reduce the confidence interval. Therefore, elimination of the transport step is absolutely required for a good qualification procedure. This can be obtained by placing the inspection tool close to the equipment to be qualified. In this way, the required experimental effort can be reduced significantly, saving both machine time and costs.
EUVL dark-field exposure impact on CDs using thick and thin absorber masks
When compared to a thick absorber mask, a thin absorber EUV mask is expected to have a comparable process window, a reduced shadowing effect, and lower MEEF. However, regardless of the mask absorber thickness, the dark-field in EUV lithography is never 100% dark. Using the same absorber stack composition, EUV masks with thinner absorbers have inherently higher leakage due to the background transmission propagating through the absorber stack. While this does act to improve resist sensitivity or throughput, the leakage reduces the image contrast and can cause CD degradation in "double" exposed regions at the edge of adjacent fields. In this study, EUVL lithographic benchmarking of both thin and thick absorber masks on the ASML Alpha Demo Tool (ADT) at IMEC is presented. Herein, we experimentally quantify the process window, EL, LWR, MEEF, Esize, ultimate resolution, and impact of dark-field background exposures on CDs for both thin and thick absorber masks. There are additional issues when field edges overlap with adjacent fields, and mitigation strategies for EUV leakage emanating from dark-field regions are discussed.
Particle detection on flat surfaces
Since 2006 EUV Lithographic tools have been available for testing purposes giving a boost to the development of fab infrastructure for EUV masks. The absence of a pellicle makes the EUV reticles extremely vulnerable to particles. Therefore, the fab infrastructure for masks must meet very strict particle requirements. It is expected that all new equipment must be qualified on particles before it can be put into operation. This qualification requirement increases the need for a low cost method for particle detection on mask substrates. TNO developed its fourth generation particle scanner, the Rapid Nano. This scanner is capable of detecting nanometer sized particles on flat surfaces. The particle detection is based on dark field imaging techniques and fast image processing. The tool was designed for detection of a single added particle in a handling experiment over a reticle sized substrate. Therefore, the Rapid Nano is very suitable for the validation of particle cleanliness of equipment. During the measurement, the substrate is protected against particle contamination by placing it in a protective environment. This environment shields the substrate from all possible contamination source in the Nano Rapid (stages, elevator, cabling). The imaging takes place through a window in the protective cover. The geometry of the protective environment enables large flexibility in substrate shape and size. Particles can be detected on substrates varying from 152 x 152 mm mask substrates to wafers up to 200 mm. PSL particles of 50 nm were detected with signal noise ratio of 26. Larger particles had higher signal noise ratios. By individually linking particles in two measurements the addition of particles can be detected. These results show that the Rapid Nano is capable of detecting particles of 50 nm and larger of a full reticle substrate.
Impact of mask line roughness in EUV lithography
Resist line edge/width roughness is one of the most critical aspects in EUV lithography for the 32 nm technological node and below. It is originated by the uncertainties which characterize the lithographic process: source speckle effect, mask line and surface roughness, mirror roughness, flare effect and resist pattern formation all contribute to the final roughness. In this paper mask and resist line edge roughness were compared by means of frequency analysis on top-down SEM images: it was found that low frequencies mask roughness are well correlated with the Power Spectral Density of the resist roughness. Mask high frequencies components resulted less critical due to the natural cut-off of the optical system. Experimental data for both mask and resist were implemented in the PROLITH Stochastic Resist Model simulator to quantify the mask line edge roughness contribution to the final resist roughness: the results showed that 16% of the low frequency resist roughness component is originated at the mask level. For that reason, mask impact was set as 0.6 nm of the overall line edge roughness resist budget.
Evaluation results of a new EUV reticle pod having reticle grounding paths
Kazuya Ota, Masami Yonekawa, Mitsuaki Amemiya, et al.
A new SEMI standard E152-0709 "Mechanical Specification of EUV Pod for 150 mm EUVL Reticles" has been published in July 2009. In the standard, reticle grounding requirements are mentioned as related information: an electrical connection between the front and back sides of EUVL reticles as well as the electrical connection to the reticle backside from outside the outer pod may be needed and specified in future. Reticle grounding is very important for reticle protection not only from electrostatic discharge (ESD) damage but also from particle contamination due to electrostatic attraction (ESA). Many past data suggested that EUV masks have to be grounded during shipping, storage and tool handling to prevent particle adhesion. Canon, Nikon and Entegris have jointly developed a new ESD-free EUV pod "cnPod-ESD" which has electrical connections to the reticle from outside the outer pod by modifying a SEMI compliant EUV pod "cnPod". In order to have an electrical connection between the reticle backside and the outer pod, a cantilever is installed inside the inner pod cover. The cantilever touches the reticle backside just inside 146mm x 146mm which is specified as the minimum conductive layer area in SEMI P37 "Specification for Extreme Ultraviolet Lithography Substrates and Blanks". In order to have an electrical connection between the reticle frontside and the outer pod, though it is not required in E152, an electrical conductive material is used for the reticle supports on the inner pod baseplate. We will show various evaluation data of the new ESD-free pods from particle contamination point of view and will discuss the necessity of the reticle grounding in this paper. We will also mention the necessity of modification of the SEMI standard P37 to make a universal EUV ESD-free pod.
22X mask cleaning effects on EUV lithography process and lifetime
Simi A. George, Robert J. Chen, Lorie-Mae Baclea-an, et al.
For this paper, we evaluated the impact of repetitive cleans on a photomask that was fabricated and patterned for extreme ultraviolet lithography exposure. The lithographic performance of the cleaned mask, in terms of process window and line edge roughness, was monitored with the SEMATECH Berkeley micro-exposure tool (MET). Each process measurement of the cleaned mask was compared to a reference mask with the same mask architecture. Both masks were imaged on the same day in order to eliminate any process-related measurement uncertainties. The cleaned mask was periodically monitored with atomic force microscopy (AFM) measurements and pattern widths were monitored using scanning electron microscopy (SEM). In addition, reflectivity changes were also tracked with the aid of witness plate measurements. At the conclusion of this study, the mask under evaluation was cleaned 22 times; with none of the evaluation techniques showing any significant degradation in performance.
Quantitative evaluation of mask phase defects from through-focus EUV aerial images
Iacopo Mochi, Kenneth A. Goldberg, Ryan Xie, et al.
We present an improved method of phase retrieval from through-focus image series with higher precision and reduced sensitivity to noise. The previous method, developed for EUV, actinic mask measurements, was based on the Gerchberg-Saxton algorithm and made use of two aerial images recorded in different focal planes. The new technique improves the reconstruction uncertainty and increases the convergence speed by integrating information contained in multiple images from a through focus series. Simulations characterize the new technique in terms of convergence speed, accuracy and stability in presence of photon noise. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase predictions made from AFM data collected after the multilayer deposition. Measurements show that a defect's top-surface height profile is not a reliable predictor of phase change in all cases. The method and the current results can be applied to improve defect modeling and to enhance our understanding of the detectability and printability of native phase defects.
Mask roughness induced LER: geometric model at long correlation lengths
Brittany M. McClinton, Patrick P. Naulleau
Collective understanding of how both the resist and line-edge roughness (LER) on the mask affect the final printed LER has made significant advances. What is poorly understood, however, is the extent to which mask surface roughness couples to image plane LER as a function of illumination conditions, NA, and defocus. Recently, progress has been made in formulating a simplified solution for mask roughness induced LER. Here, we investigate the LER behavior at long correlation lengths of surface roughness on the mask. We find that for correlation lengths greater than 3λ/NA in wafer dimensions and CDs greater than approximately 0.75λ/NA, the previously described simplified model, which remains based on physical optics, converges to a "geometric regime" which is based on ray optics and is independent of partial coherence. In this "geometric regime", the LER is proportional to the mask slope error as it propagates through focus, and provides a faster alternative to calculating LER in contrast to either full 2D aerial image simulation modeling or the newly proposed physical optics model. Data is presented for both an NA = 0.32 and an NA = 0.5 imaging system for CDs of 22-nm and 50-nm horizontal-line-dense structures.
Mask roughness induced LER control and mitigation: aberrations sensitivity study and alternate illumination scheme
Brittany M. McClinton, Patrick P. Naulleau
Here we conduct a mask-roughness-induced line-edge-roughness (LER) aberrations sensitivity study both as a random distribution amongst the first 16 Fringe Zernikes (for overall aberration levels of 0.25, 0.50, and 0.75nm rms) as well as an individual aberrations sensitivity matrix over the first 37 Fringe Zernikes. Full 2D aerial image modeling for an imaging system with NA = 0.32 was done for both the 22-nm and 16-nm half-pitch nodes on a rough mask with a replicated surface roughness (RSR) of 100 pm and a correlation length of 32 nm at the nominal extreme-ultraviolet lithography (EUVL) wavelength of 13.5nm. As the ideal RSR value for commercialization of EUVL is 50 pm and under, and furthermore as has been shown elsewhere, a correlation length of 32 nm of roughness on the mask sits on the peak LER value for an NA = 0.32 imaging optic, these mask roughness values and consequently the aberration sensitivity study presented here, represent a worst-case scenario. The illumination conditions were chosen based on the possible candidates for the 22-nm and 16-nm half-pitch nodes, respectively. In the 22-nm case, a disk illumination setting of σ = 0.50 was used, and for the 16-nm case, crosspole illumination with σ = 0.10 at an optimum offset of dx = 0 and dy = .67 in sigma space. In examining how to mitigate mask roughness induced LER, we considered an alternate illumination scheme whereby a traditional dipole's angular spectrum is extended in the direction parallel to the line-and-space mask absorber pattern to represent a "strip". While this illumination surprisingly provides minimal improvement to the LER as compared to several alternate illumination schemes, the overall imaging quality in terms of image-log-slope (ILS) and contrast is improved.
Absorber height effects on SWA restrictions and shadow LER
Brittany M. McClinton, Patrick P. Naulleau, Thomas Wallow
In this study, we look at the 3D effects of absorber height on mask patterns for extreme-ultraviolet lithography (EUVL). Our first consideration is the extent to which sidewall angle (SWA) constrains the process window. Taking 10% of the total CD error budget as an acceptable tolerance, this amounted to 0.2nm of tolerable SWA-induced CD error. Results for the three nominal SWA cases show that the angle needs to be constrained to within about 0.5 degrees. Overall, the results above indicate that not only is there not much change in sensitivity on changing angle as a function of nominal angle, but also that the nominal angle has no large effect on process window size. Secondly, we consider how off-axis illumination shadowing of the mask absorber pattern effects line-edge-roughness (LER). Data suggests shadowing causes minimal differences between the left- and right-side LER for the 22nm half-pitch node under disk σ = 0.5 illumination and 70nm absorber height. For 16nm half-pitch with crosspole σ = 0.2, significant differences were seen.
Poster Session: Optics
icon_mobile_dropdown
Relationships between EUV resist outgassing and contamination deposition at Selete
Hiroaki Oizumi, Kazuyuki Matsumaro, Satoshi Nomura, et al.
This presentation summarizes the relationships between resist outgassing and contamination deposition for EUV resists, in the case of EUV irradiation with high illumination intensity (>100mW/cm2). These relationships were obtained by determining the resist outgassing species by gas chromatography-mass spectroscopy (GC-MS) and the contamination on optical elements by witness sample testing.
Interaction of benzene and toluene vapors with Ru(0001) surface: relevance to MLM contamination
B. V. Yakshinskiy, Q. Shen, R. A. Bartynski
We report studies of the thermal and non-thermal interaction of benzene and toluene vapors with the Ru(0001) surface, a model cap layer for multilayer mirrors (MLM), using temperature programmed desorption (TPD), X-ray photoelectron spectroscopy (XPS), low energy ion scattering (LEIS), electron stimulated desorption (ESD), low electron energy diffraction (LEED), and scanning tunneling microscopy (STM). A low energy electron source (100 eV) is used to simulate radiation damage on the surface produced by EUV photons. Heating of adsorbed hydrocarbons leads to a stepwise dehydrogenation and buildup a self-limited carbon monolayer. Graphene monolayer and bilayer formation on Ru by hydrocarbon pyrolysis or by carbon segregation from the sample bulk is examined as a possible way to reduce the surface contamination rate. The binding energy of the hydrocarbon molecule is found to be smaller on a graphene layer than on disordered carbon. Electron bombardment of both bare and graphene covered Ru surface in the presence of benzene and toluene leads to C-buildup. However, the presence of a graphene monolayer on Ru surface reduces the electron-induced carbon growth rate at low electron flux conditions.
Dependence of contamination rates on key parameters in EUV optics
Yashdeep Khopkar, Petros Thomas, Leonid Yankulin, et al.
Optics contamination remains one of the challenges in extreme ultraviolet (EUV) lithography. Dependence of contamination rates on key EUV parameters was investigated. EUV tools have optics at different illumination angles. It was observed that at shallower angles, the carbon contamination rate and surface roughness was higher on the optics surface. This is a concern in EUV optics as higher roughness would increase the scattering of the EUV radiation. Secondary ion time of flight mass spectrometer (TOF-SIMS) data indicated that the carbon contamination film might be a polymer. Three chemical species were used to investigate the dependence of polymerization and reactivity on the contamination rate. Acrylic acid was found to have a measurable contamination rate above background compared to propionic acid and methyl methacrylate. Secondary electron dissociation is one of the mechanisms considered to be a cause for the growth of the carbon contamination film. Multiple experiments with two substrates having different secondary electron yields were performed. The substrate with the higher secondary electron yield was found to give a higher contamination rate.
Damage testing of EUV optics using focused radiation from a table-top LPP source
K. Mann, F. Barkusky, A. Bayer, et al.
As a consequence of the steadily increasing EUV powers and radiation doses, damage and degradation testing of EUV optical elements has become an important issue. In this contribution we report on first damage tests on optics and detectors for the wavelength of 13.5nm using a high fluence micro-focus from a laboratory-scale EUV source. The setup consists of a laser-generated plasma from a pulsed gaseous Xenon jet or a solid Au target, respectively. In order to obtain a small focal spot resulting in a high EUV fluence, a modified Schwarzschild objective consisting of two spherical mirrors with Mo/Si multilayer coatings is adapted to the source, simultaneously blocking unwanted out-of-band radiation. By demagnified (10x) imaging of the Au plasma an EUV spot of 5 μm diameter with a maximum energy density of ~1.3 J/cm2 is generated at a wavelength of 13.5 nm and a pulse width of 8.8 ns. We demonstrate the potential of this integrated source and optics system for damage testing on EUV optical elements and sensoric devices. As an example, single pulse ("1-on-1") and multiple pulse ("S-on-1") damage thresholds were determined for Mo/Si multilayer mirrors, using both on-line optical microscopy, interferometry and atomic force microscopy for damage detection. The data are compared with in-situ measurements of the reflectivity change at 13.5nm. Moreover, thin metal coatings (Gold) used as grazing incidence mirrors were irradiated. Threshold energy densities for damage and film removal were determined, showing a linear dependence on the film thickness. Furthermore, EUV-to-VIS quantum convertors (Ce:YAG crystals, phosphor coatings) employed for beam characterization were investigated in terms of linearity, saturation behavior and conversion efficiency.
Plasma-assisted cleaning by metastable-atom neutralization (PACMAN): a plasma approach to cleanliness in lithography
W. M. Lytle, D. Andruczyk, V. Jindal, et al.
The Plasma-Assisted Cleaning by Metastable-Atom Neutralization (PACMAN) cleaning technique being developed in the Center for Plasma-Material Interactions (CPMI) at the University of Illinois at Urbana-Champaign is a dry-non-contact vacuum-based removal technique. The PACMAN process uses a high density helium plasma (ne ≈ 1017m-3, Te ≈ 3eV) to achieve removal of organic contaminants on optical masks, EUV masks, silicon wafers, and optics material used in integrated circuit manufacturing. The PACMAN process is successful at removing both hydrocarbon particles as well as carbon layers by utilizing the high-energy helium metastables in the plasma. The helium metastables, with 20eV of energy, are used to break the bonds of the particle allowing for volatilization or desorption of the atoms/hydrocarbon chains of the particle to achieve an etching-like removal method without using traditional etchant process gasses. With ion energies of 10eV, damage such as surface roughening or surface erosion to the underlying structures being cleaned are avoided. Also, film densification (the removal of hydrogen from a hydrocarbon resulting in a dense carbon layer at the surface of the particle) is avoided in the PACMAN technique due to the absence of high-energy ions which would preferentially sputter hydrogen out of the particle matrix. Preliminary results for the removal of polystyrene latex nanoparticles in the range of 30 nm to 500 nm have shown removal rates of 1.2x107 ± 5.1x105 nm3/min without damage to silicon wafers. Also, carbon films on silicon wafers have been removed with the PACMAN technique at a rate of 3.0x106 ± 1.3x105 nm3/min. Current results of cleaning various particle types from surfaces through the PACMAN process will be presented in addition to a theoretical model of the removal process.
Broadband spectrophotometry on nonplanar EUV multilayer optics
I. Balasa, H. Blaschke, D. Ristau
A reliable and compact EUV-spectrometer adapted for the broadband analysis of curved EUV-optics for near normal incidence applications will be presented. Using a specific design for the specimen holder, the limits of both types of samples, convex and concave, can be verified. The capability of the device is confirmed by investigations in the spectral reflectivity of a single EUV-multilayer mirror deposited on a silicon wafer. Its radius of curvature (ROC) is continuously adjustable, providing a direct comparison of the detected peak reflectivity, peak location and spectral bandwidth in dependence on its curvature. The range of curvature applied is in compliance with optics specifications of current projection systems for EUV-lithography.
Development of an in-situ Sn cleaning method for extreme ultraviolet light lithography
J. Sporre, R. E. Lofgren, D. N. Ruzic, et al.
The development of a successful extreme ultraviolet light source for lithography relies on the ability to maintain collector optic cleanliness. Cleanliness is required to maintain the reflectivity of the collector optic, thus maintaining the light power output at the intermediate focus. In this paper, an in-situ method is explored to remove Sn from a contaminated collector optic. Hydrogen plasma is used to promote Sn etching while maintaining the integrity of the collector optic's multi-layer structure. The removal rate of Sn is investigated as a function of various operational parameters including chamber pressure, plasma electron density, as well as plasma electron temperature. Initial results are presented using an external RF-plasma source. The use of the collector optic as a RF-antenna is also investigated to optimize the etching rate of the hydrogen plasma. Initial plasma parameter measurements reveal electron densities on the order of 1011-1012 cm-3, with electron temperatures on the order of 1-3 eV. An optimized etch rate of ~125 nm/min off of Si was observed using 1000 W, 80 mTorr, and a flow rate of 50 sccm of H2. These initial measurements are used as a basis for optimizing the etching rate off of the collector optic. Such results are important in allowing the long-term usage of a single collector optic to minimize operating costs involved with replacing the optic as well as tool downtime.
Efficient specification and characterization of surface roughness for extreme ultraviolet optics
Sven Schröder, Marcus Trost, Torsten Feigl, et al.
EUV mirrors are cutting-edge optical surfaces. Meeting the roughness specifications over the entire range of relevant spatial frequencies is a challenging process. Recent developments that might help to increase the efficiency of EUV mirror production will be discussed including relaxed roughness specifications using the new Generalized Harvey Shack theory as well as a new approach for roughness measurements during and after manufacturing based on light scattering measurements and analysis. The method provides area covering images of the distribution of high-spatial frequency roughness (HSFR) over entire mirrors. Results will be presented for 660 mm diameter EUV collector mirror substrates.
Poster Session: Resist
icon_mobile_dropdown
Application of flash-lamp post-exposure baking for EUV resist processing
The reduction of linewidth roughness (LWR) is considered one of the most critical issues in extreme ultraviolet (EUV) photoresists. A possible solution to the LWR issue is shortening the acid-diffusion length of the photoacid via the optimized application of post-exposure bake (PEB) processes. In this study, the development and feasibility of the flash-lamp (FL) PEB process as a replacement for the commonly used hot-plate PEB process is investigated. The results indicate that, using the FL PEB process, the acid-diffusion length is controllable and lithographic patterning results are obtained. Further detailed analysis is necessary to optimize this technology for lithographic patterning applications. However, the present results show the potential of FL PEB for applications in EUV photoresist processing.
Availability of underlayer application to EUV process
EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.
Development of under layer material for EUV lithography
Rikimaru Sakamoto, Bang-Ching Ho, Noriaki Fujitani, et al.
For the next generation lithography (NGL), several technologies have been proposed to achieve the 22nm-node devices and beyond. Extreme ultraviolet (EUV) lithography is one of the candidates for the next generation lithography. For lithography processes, the Line width roughness (LWR) and the pattern collapse of resist are the most critical issues for NGL, because of the small target critical dimension (CD) size and high aspect ratio. In this study, we design the new concept of EUV Under layer (UL) material to meet these requirements and study the impact of polymer design for pattern collapse behavior, pattern profile and LWR control by using EUV exposure tool.
Study of post-develop defect on typical EUV resist
Masahiko Harumoto, Sadayasu Suyama, Tadashi Miyagi, et al.
This study reports on post-develop defect for EUV resist process. Presently, research and development of EUV resists are continuously being carried out in terms of resolution, sensitivity, LWR. However, in the preparation of EUV lithography for mass-production, research on the reduction of pattern defects, especially post-develop defect is also necessary. As observed during the early stages of resist development for the various lithographic technologies, a large number of pattern defects are commonly coming from the resist dissolution process. As previously reported, utilizing an EUV exposure tool, we have classified several EUV specific defects on exposed and un-exposed area. And also we have reported approaches of defect reduction. In this work, using some types developer solution (TBAH, TBAH+, etc) comparing with current developer solution (TMAH), EUV specific defects were evaluated. Furthermore, we investigated the defect appearing-mechanism and approached defect reduction by track process. Finally, based on these results, the direction of defect reduction approaches applicable for EUV resist processing was discussed.
Study of ion implantation into EUV resist for LWR improvement
The potentiality of line width roughness (LWR) reduction by ion implantation (I/I) in the extreme ultra violet (EUV) lithography resist pattern was studied. The Argon ions were implanted to the Line-and-Space (L/S) pattern of EUV resist with changing ion energy, dose and incident angle. The LWR and line width of 32 nm half-pitch L/S pattern was evaluated after development, after I/I and after dry etching of the experimental thin hard mask beneath the resist pattern. The LWR of 4.2 nm 3 σ, corresponding to the reduction of 1.6 nm, was obtained for resist after I/I with relatively low energy condition of 1~5 keV. On the other hand, the best value of LWR after hard mask dry etching was 3.6 nm 3σ with I/I energy of 15 keV. It was found that preferable I/I condition for LWR reduction cannot be decided I/I alone but should be optimized combined with etching.
Development of EUV resist for 22nm half pitch and beyond
Kouta Nishino, Ken Maruyama, Tooru Kimura, et al.
Extreme ultraviolet (EUV) lithography is one of the most promising candidates for next generation lithography (NGL) that can print 22nmhp and beyond. In order to implement EUV technology, resist is one of the critical items that require significant improvement in overall performance. In order to achieve these improvements, many research groups are developing new materials such as molecular glass (MG) polymer bound photo-acid generator (PAG) high quantum yield PAG, sensitizer and high absorption resin. In this study, we focused on innovative PAG materials and correlated PAG acid diffusion length to lithography performance. As a result, new resist designs with improved resolution, LWR, sensitivity are reported.
Mass spectrometer characterization of reactions in photoresists exposed to extreme ultraviolet radiation
The development of resists that meet the requirements for resolution, line edge roughness and sensitivity remains one of the challenges for extreme ultraviolet (EUV) lithography. Two important processes that contribute to the lithographic performance of EUV resists involve the efficient decomposition of a photoacid generator (PAG) to yield a catalytic acid and the subsequent deprotection of the polymer in the resist film. We investigate these processes by monitoring the trends produced by specific masses outgassing from resists following EUV exposure and present our initial results. The resists tested are based on ESCAP polymer and either bis(4-tert-butylphenyl)iodonium perfluoro-1-butanesulfonate or bis(4-tert-butylphenyl)iodonium triflate. The components originating from the PAG were monitored at various EUV exposure doses while the deprotection of the polymer was monitored by baking the resist in vacuum and detecting the cleaved by-product from the polymer with an Extrel quadruple mass spectrometer.
EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs
The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits ≤22nm half-pitch (HP) L/S resolution at ≤11.3mJ/cm2 with ≤3nm LWR.
Unraveling the effect of resist composition on EUV optics contamination
I. Pollentier, I. Neira, A.-M. Goethals, et al.
EUV lithography is the most promising new technology for the next node of semiconductor devices. Unfortunately, the high energy photons are likely to generate more contamination than observed with ArF or KrF light which can reduce the transmission of the EUV optics. Resist outgassing is considered to be an important contamination source, however, not enough is known about the way a resist composition influences the contamination growth rate, while this information is crucial to guide the development of EUV resists. To reduce the knowledge gap, FUJIFILM and imec started a joint effort aimed at systematically exploring the contribution of the different resist components and at understanding the effect of chemical modifications of the different components on the contamination tendency of resists. The project focuses on (1) the identification and quantification of the outgassing components from resist by RGA measurements, (2) on the quantification of the resist related contamination rate by witness sample (WS) testing, and (3) on the correlation between these two results knowing the details of the resist chemistry. To explore the effect of the resist composition upon contamination growth, the following approach was followed. The focus was put on chemically amplified resists (CAR), since this chemistry is mostly used in EUV lithography. Both PAG blended as well as PAG bound systems were explored, and the following resist components are individually varied: polymer matrix, blocking groups, PAG type and concentration. In this way the total contamination of a resist can be divided into the separate contributions of the different resist components upon the contamination growth rate, which is a huge step forward in the understanding of optics contamination due to resist.
Acid proliferation to improve the sensitivity of EUV resists: a pulse radiolysis study
Kazuyuki Enomoto, Koji Arimitsu, Atsutaro Yoshizawa, et al.
The yields of acid have been measured in the electron-beam irradiation of triphenylsulfonium triflate (TPS-Tf) and pinanediol monosulfonates, which consist of tosylate (PiTs), 4-fluorobenzenesulfonate (Pi1F), or 4-trifluoromethylbenzenesulfonate (Pi3F), as an acid amplifier blended in 4-hydroxystyrene matrixes. The acid yields efficiency decreases when PiTs is present, while its efficiency increases in the presence of Pi3F. Reactions of the electrons with TPS-Tf and pinanediol monosulfonates have been studied using pulse radiolysis in liquid tetrahydrofuran (THF) to evaluate the kinetic contributions to acid production. The THF-solvated electrons react with PiTs, Pi1F, and Pi3F to produce the corresponding radical anions; the rate constants are estimated to be 4.1, 5.1, and 9.2 × 1010 M-1 s-1, respectively. Electron transfer from PiTs•-, Pi1F•-, and Pi3F•- radical anions to TPS-Tf occurs with the rate constants of 5.7×1010, 1.2×1011, and 6.3 × 1010 M-1 s-1, respectively. The long-lived Pi3F•- efficiently undergoes the electron transfer to TPS-Tf to form the TPS-Tf•-, which subsequently decompose to generate TfOH. On the other hand, the decay channels of PiTs•- and Pi1F•-, which possess a relatively short lifetime, are presumably dependent on its reactions with solvated protons (charge recombination) rather than the electron transfer to TPS-Tf. The novel acid production pathway via the electron transfer from pinanediol monosulfonate radical anions to TPS-Tf is presented.
Study of acid diffusion of anionic or cationic polymer bound PAG
The main issue for developing EUV resist is to satisfy the ITRS target of sensitivity, line edge roughness(LER), and resolution simultaneously. However, Resist researchers have difficulty in EUV resist development because they are tradeoff relationships each other. Among them, LER is closely related to acid diffusion length of photo acid generator. Researchers have tried to accomplish uniform distribution and diffusion minimization of PAG in photoresist film in order to improve LER. They are mainly using two kinds of method for PAG introduction for uniform distribution and diffusion minimization. One is use of sulfonium salts having ultra bulky anion or cation for the acid diffusion suppression, the other is direct incorporation of the PAG into the polymer backbone. In that regard, we have pursued development of a variety of 193nm and EUV CARs that contain photoacid generator(PAG) units covalently bonded directly to the resin polymer backbone. When we consider polymer bound PAG, there can be anionic polymer bound PAG resist, cationic polymer bound PAG resist and nonionic polymer bound resist. In this work, we will discuss diffusion length and line edge roughness(LER) of these polymers. Acid diffusion length(Ld) and diffusion coefficient(D) were calculated by according to the modified Fick,s equation. As a result of this measurements we knew that diffusion length of general PAG use as ArF photoresist composition was ranged from thousands of nm to ten of nm and PAG diffusion length having bulky anion and cation is was within a ten nm. In case of anionic polymer bound PAG, acid diffusion length showed under 10nm.
Deep ultraviolet out-of-band contribution in extreme ultraviolet lithography: predictions and experiments
Extreme ultraviolet lithography (EUVL) sources emit a broad spectrum of wavelengths ranging from EUV to DUV and beyond. If the deep ultraviolet (DUV) reaches the wafer it will affect imaging performance by exposing the photoresist. Hence it is critical to determine the amount of DUV out of band (OoB) present in a EUVL tool, as well as its effect on the printed features on the wafer. In this study we investigate the effect of DUV OoB in EUVL. A model is developed in order to be able to quantify the DUV/EUV ratio at wafer level and all the required input parameters are estimated in the range from 140 to 400nm, as well as for the EUV at 13.5nm. The transmission of the optical system was estimated based on the optical design and reflectivity measurements of the mirrors. The mask reflectivity for multilayer (ML) and absorber was measured at wavelengths down to 140 nm and for EUV. The sensitivity to EUV and DUV for a variety of resist platforms was measured at 13.5 nm, 157 nm, 193 nm, 248 nm and 365 nm. The source spectra were also measured. By using these inputs, it was possible to estimate the DUV/EUV ratio for two different ASML tool configurations, the EUV Alpha Demo Tool and the NXE:3100. Both NXE:3100 with LPP (laser produced plasma) source and Alpha Demo Tool with DPP (discharge produced plasma) source show less than 1% DUV/EUV ratio in resist. The modeling predictions were compared to experimental results. A methodology is introduced to measure the DUV/EUV ratio at wafer level in situ. With this aim, an aluminum coated mask was fabricated and its reflectivity was qualified in both EUV and DUV wavelength range. By comparing the dose to clear exposures of a reflective blank and of the aluminum mask, it is possible to quantify the DUV/EUV ratio. The experimental results are in order of magnitude agreement with modeling predictions. The proposed experimental approach can be used to benchmark the DUV sensitivity of different resist platforms and may be used to monitor DUV OoB.
Poster Session: Sources
icon_mobile_dropdown
Understanding the behavior of laser-produced tin plasma by time-resolved spectroscopy and simulations of their spectra
I. Kambali, T. McCormack, E. Scally, et al.
Extreme ultraviolet (EUV) spectra from laser-produced tin plasmas have been recorded as a function of time using an ISAN grazing incidence spectrograph to study the temporal evolution of the tin unresolved transition array (UTA) responsible for the peak EUV emission. This paper reports the experimental as well as simulated results for a 10 ns gate width with 2 ns time steps which confirm that the development and collapse of the UTA follow the temporal behavior of the laser pulse. The self-absorption features at longer wavelengths are observed particularly during plasma cooling and arise from lower ion stages ranging from Sn VI to Sn XI.
Counter-facing plasma focus system as an efficient and long-pulse EUV light source
H. Kuwabara, K. Hayashi, Y. Kuroda, et al.
A plasma focus system composed of a pair of counter-facing coaxial plasma guns is proposed as a long-pulse and efficient EUV light source. A proof-of-concept experiment demonstrated that with an assist of breakdown and outer electrode connections, current sheets evolved into a configuration for stable plasma confinement at the center of the electrode. The current sheets could successively compress and confine the high energy density plasma every half period of the discharge current, enabling highly repetitive light emissions in extreme ultraviolet region with time duration in at least ten microseconds for Xe plasma. Also, we confirmed operations of our system for Li plasma. We estimated the highest EUV energy in Li plasma operation at 93mJ/4π sr per 2% bandwidth per pulse.
Development of the reliable 20 kW class pulsed carbon dioxide laser system for LPP EUV light source
Junichi Fujimoto, Takeshi Ohta, Krzysztof M. Nowak, et al.
Laser Produced Plasma (LPP) Extreme Ultra Violet (EUV) light source is expected to be used for next generation lithography. To realize such performance for industrial use, the main driver laser is one of the key components. Our source uses a high power pulsed carbon dioxide (CO2) laser as a plasma driver. A master oscillator and a power amplifier (MOPA) system based on a new configuration of an RF-excited CO2 laser is the key to high efficiency. This pulsed CO2 laser system has started to operate. This report shows its initial performance. Also for a reliable industrial system, the optical instability caused by vibration and thermal distortion of optics should be suppressed at 20 kW output level. The primary design of key modules, such as mirrors, for the CO2 laser, and dynamic design concepts are shown in this report. We have achieved 7.6 kW, 14 nsec, 100 kHz pulsed output in this configuration.
Characterization and optimization of tin particle mitigation and EUV conversion efficiency in a laser produced plasma EUV light source
Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, et al.
A laser produced plasma (LPP) extreme ultraviolet (EUV) light source of 13.5 nm has been developed for next generation lithography. Sn plasma is an efficient generator of 13.5 nm EUV light. On the other hand, deposition of Sn particles which strongly affects EUV collector mirror lifetime is a critical issue for long-term stable operation of the high-power EUV light source. In this paper we describe about the optimization of tin debris mitigation with a compact EUV generation system. We observe almost all of Sn fragments generated after a pre-pulse irradiation are vaporized by a main CO2 pulse laser with a droplet of 20 μm in diameter. An EUV conversion efficiency (CE) of 3.4% at a maximum is obtained for the 20 μm droplet. These results indicate the debris mitigation can be achieved without degradation of the high EUV CE.
Development of debris-mitigation tool for HVM DPP source
Hironobu Yabuta, Shinsuke Mori, Takahiro Inoue, et al.
Debris-mitigation tools (DMTs) have been used in DPP sources and the performance has been well proven in alpha sources. In beta and HVM sources, requirement to the DMT is increasing to fulfill the power and lifetime requirements simultaneously. In order to bring DPP technology into HVM level, a high-performance DMT has been developed. It has high mitigation performance for both neutral and ionic debris, large collection angle of the collector having high optical transmission, and withstand large thermal input from the discharge source head. Experiments were carried out using mirror samples and proved sufficient performance with which no sputtering and deposition were observed.
Sn film and ignition control for performance enhancement of laser-triggered DPP source
Yusuke Teramoto, Takuma Yokoyama, Hideyuki Urakami, et al.
A laser-triggered DPP source is being developed and showing considerable progress toward HVM. Performance, in terms of power and lifetime, of DPP sources has been proven by long-term usage in lithography development fields. Since high-performance debris-mitigation tools are used in DPP sources, collector lifetime is not an issue. However, it is worth developing the technology to enhance overall lifetime of the collector module. In order to suppress both neutral and ionic debris, two technologies, which can be simultaneously used in a DPP source, have been developed. First, a discharge ignition by using two lasers was developed. It was able to reduce the amount and energy of fast ions which could sputter a collector by a factor of 5. In addition to fast ion reduction, CE enhancement of 60 % was obtained. Second, an active control of liquid tin layer, which acts as a fuel material, electrode protection and cooling medium, could reduce particle debris and lower the load of a debris-mitigation tool. Implementing these technologies is considered to provide enhancement of the lifetime of the collector module and support HVM readiness.
Stable tin droplets for LPP EUV sources
Bob Rollinger, Oran Morris, Reza S. Abhari
A key component of EUV LPP sources is the droplet generator. Small tin droplets, when combined with a igh power laser, deliver a regenerative target with high CE. This is mandatory for long-term operation in an EUV source. The overall source stability directly correlates with the stability of the fuel delivery system. In this work, droplets are imaged directly at the irradiation site. The droplet diameter and position are extracted from recorded droplet train images. Tin droplets are successfully generated at diameters of 35-58um, with droplet velocities ranging from 8 to 12m/s. The obtained droplet sizes limit the amount of neutral atoms and residual tin at the plasma formation site. The droplet velocities lead to droplet spacings of up to 8 droplet diameters. The resulting spacing helps to minimize the plasma-droplet train interaction. The droplet generator frequency range fulfills the requirements for low power metrology tools and high power HVM sources. Stability of the droplet generator is studied at 20kHz. The droplet diameter stability presents no significant fluctuations. The lateral droplet stability is in the range of 1.8% (3σ) of the droplet diameter, so no influence on source stability is expected. The variations in drop-to-drop distance, which go up to 7.3% (3σ) can influence source stability for the case of constant laser triggering.
EUV brightness, spot size, and contamination measurements at the intermediate focus
Andrea Z. Giovannini, Oran Morris, Ian Henderson, et al.
The next generation of semi-conductor devices will be manufactured using extreme ultraviolet lithography with a laser-produced plasma as a candidate 13.5nm light source. A primary challenge, particularly for metrology tools, is the stability and the brightness of the generated EUV at the intermediate focus. In the experimental facility at ETH a novel collecting system is studied to optimize brightness and stability, and to avoid contamination after the intermediate focus. Different experimental studies are shown to confirm the design's success for both the EUV beam quality and lack of contamination after the intermediate focus.
Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications
Stephen F. Horne, Deborah Gustafson, Matthew J. Partlow, et al.
Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.
Investigation on the interaction of long duration Nd:YAG laser pulse with Sn plasma for an EUV metrology source
The effect of pulse duration of Nd:YAG laser with wavelength of 1.064 μm on the generation of 13.5 nm extreme ultraviolet (EUV) emission and ions was investigated. It was found that almost constant in-band (2 % bandwidth) conversion efficiency (CE) is obtained from Sn plasmas irradiated with Nd:YAG laser pulse with durations from 0.13 to 30 ns. It was also noted that Sn ions kinetic energy generated with a 30 ns laser pulse is much less than those with 0.13 and 7 ns laser pulses. The measurement on the narrow-band EUV imaging showed that EUV source size strongly depends on laser intensity instead of pulse duration and small EUV size is still possible with laser pulse duration as long as 30 ns. The key reason for the constant CE and the still small EUV source size obtained with long laser pulse duration comes from the small laser focal spot employed in the present experiment, i.e., 40 μm (FWHM). This research shows that an efficient and bright EUV source is feasible with a long pulse duration Nd:YAG laser. The lower peak intensity of EUV emission due to the long pulse duration makes the EUV source more suitable for EUV metrology.
Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations
In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.
Laser produced plasma light source for EUVL
Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, et al.
This paper describes the development of laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source architecture for advanced lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193 nm immersion technology for sub-22 nm critical layer patterning. In this paper we discuss the most recent results from high qualification testing of sources in production. Subsystem performance will be shown including collector protection, out-of-band (OOB) radiation measurements, and intermediate-focus (IF) protection as well as experience in system use. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for an HVM source.
High-brightness EUV light source for HVM
Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, et al.
The roll out of EUV lithography for HVM, including the associated tools for actinic mask and mask blank defect inspection, require reliable and powerful EUV radiation sources. NANO-UV has developed a unique EUV/soft X-ray source, the CYCLOPSTM, based on a fast, micro-plasma pulsed discharge, incorporating the i-SoCoMoTM technology; an intrinsic plasma structure to provide photon collection and delivery. We report on the EUV light source development, including the extensive numerical modelling which provided the basic parameters required for high power or high irradiance operating regimes. Without using external physical optics, a peak irradiance exceeding 1018 ph/cm2/s, in a 3 nm bandwidth around 13.5nm, has been recorded at a distance 74 cm downstream from the source, which was operating at 1 kHz in a He:N2:Xe gas admixture at up to 0.5J per pulse operation. A new Sn-alloy cathode material has enhanced the output by a factor of 1.5 with the power now delivered in a sub-cm size spot being greater than 20W in 3nm band, with a typical étendue below 10-2 mm2•sr. NANO-UV can meet the HVM source requirements with its HYDRATM spatial/temporal multiplexed source development. A multiplex of 12 units form HYDRATM -12P having the potential of reaching 240W (within 3 nm EUV band) at IF demonstrates multiplexing principle.
Poster Session: Tools
icon_mobile_dropdown
Overlay accuracy of EUV1 using compensation method for nonflatness of mask
Two EUVL masks were made using the compensation method for nonflatness of a mask; and the EUV1 was used to evaluate the resulting overlay accuracy. For the same mask, the reproducibility of the intra-field overlay errors was better than 1 nm (3σ) without linear components; and that of the flatness was better than 20 nm PV. In contrast, the overlay errors were about 3 nm (3σ) for the two masks. The main cause of this degradation in overlay accuracy might be the difference in mask flatness (~260 nm PV). Using overlay patterns corrected by the compensation method reduced the overlay errors to about 2.5 nm (3σ). Although the compensation method produced only a small change, it definitely improved the intra-field overlay of the EUV1. Furthermore, the EUV1 was used to evaluate the intra-wafer overlay for 23 shots. The single-machine overlay (SMO) was found to be better than 4.5 nm (Mean + 3σnonlinear), and the mix-and-match overlay (MMO) between the EUV1 and an ArF immersion scanner (NSR-S610C) was about 20 nm (Mean + 3σnonlinear). The main cause of the MMO errors might be the nonflatness of the mask and wafer chucks of the EUV1. Thus, the chucks must be made flatter to reduce MMO errors. This work was supported in part by NEDO.
EUV processing investigation on state of the art coater/developer system
In order to further understand the processing sensitivities of the EUV resist process, TEL and imec have continued their collaborative efforts. For this work, TEL has delivered and installed the state of the art, CLEAN TRACK™ LITHIUS Pro™ -EUV coater/developer to the newly expanded imec 300mm cleanroom in Leuven, Belgium. The exposures detailed in this investigation were performed off-line to the ASML EUV Alpha Demo Tool (ADT) as well as on the inline ADT cluster with CLEAN TRACK™ ACT™ 12 coater/developer. As EUV feature sizes are reduced, is it apparent that there is a need for more precise processing control, as can be demonstrated in the LITHIUS Pro™ -EUV. In previous work from this collaboration1, initial investigations from the ACT™ 12 work showed reasonable results; however, certainly hardware and processing improvements are necessary for manufacturing quality processing performance. This work continues the investigation into CDU and defectivity performance, as well as improvements to the process with novel techniques such as advanced defect reduction (ADR), pattern collapse mitigation with FIRM™Extreme and resolution improvement with tetrabutylammoniumhydroxide (TBAH).
Low-speckle holographic beam shaping of high-coherence EUV sources
This paper describes a method to arbitrarily shape and homogenize high-coherence extreme ultraviolet sources using time-varying holographic optical elements and a scanning subsystem to mitigate speckle. In systems with integration times longer than 100 ms, a speckle contrast below 1% can be achieved.
Lateral shearing interferometry for high-resolution EUV optical testing
Ryan Miyakawa, Patrick Naulleau
Next generation EUV optical systems are moving to higher resolution optics to accommodate the smaller length scales targeted by the semiconductor industry. As the numerical apertures (NA) of the optics become larger, it becomes increasingly difficult to characterize aberrations, which broaden the point-spread function and thus limit the ultimate resolution of an optical system. Lateral shearing interferometry (LSI) provides an attractive alternative to conventional interferometric techniques such as point diffraction interferometry due to its experimental simplicity, stability, relaxed coherence requirements, and its ability to scale to high numerical apertures. In this paper we present an analytical solution to the LSI interferogram in various NA regimes. We demonstrate that systematic aberrations present in high NA interferograms due to grating distortion of the diffracted order angular spectrum are measurable and must be compensated for in the reconstruction algorithm.
On the extensibility of extreme UV lithography
In this paper, definition of line/space patterns at 44-, 32-, and 22-nm pitches using extreme-ultraviolet lithography (EUVL) is investigated by aerial image simulation. The results indicate that extending EUVL to the 22-nm pitch requires reducing the mask shadowing effect, which implies reducing the mask absorber thickness as well as maintaining the 6-degree angle of incidence on the mask, if the reduction ratio of the imaging system is to be kept at 4. Reduction of the mask absorber thickness can be realized by implementing attenuated phase-shifting masks. Otherwise, all critical patterns must be laid out in single orientation.
Considerations for cost of ownership in EUV lithography
Anthony Keen, Christopher Bailey, Jos Donders, et al.
The cost of ownership of semiconductor manufacturing equipment is typically addressed in terms of raw utility consumption. Focusing on energy, the average consumption of a typical semiconductor fabrication plant has doubled over a recent 10 year period, with approximately 30% of this energy currently attributed to vacuum equipment. Compared to conventional optical lithography, extreme ultraviolet lithography (EUVL) requires the adoption of a vacuum subsystem to enable the technology, bringing an additional vacuum requirement to semiconductor fabs. With this trend it is increasingly important to focus on more efficient ways of operating semiconductor manufacturing tools and their supporting equipment. Clever operation through employment of 'GREEN' modes can provide significant utility savings. However, in semiconductor lithography, tool uptime is a critical parameter to be considered in any cost of ownership model, and the facility vacuum equipment plays an intimate role here, so including redundancy in pumping equipment can be a key enabler to maintaining tool uptime. Consequently optimizing the design of the vacuum subsystem will help to reduce the overall footprint, utility consumption and energy costs associated with this process.