Proceedings Volume 7718

Optical Micro- and Nanometrology III

cover
Proceedings Volume 7718

Optical Micro- and Nanometrology III

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 12 May 2010
Contents: 13 Sessions, 48 Papers, 0 Presentations
Conference: SPIE Photonics Europe 2010
Volume Number: 7718

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7718
  • Digital Holography
  • New Aspects in Microtopography Measurements I
  • New Aspects in Microtopography Measurements II
  • Inspection of MEMS I
  • Topography and Surface Measurements
  • Inspection of Microoptics
  • Advanced Microscopy Techniques
  • Inspection of MEMS II
  • Image Reconstruction and Signal Processing
  • Specialised Techniques and Sensors
  • Process Monitoring Systems
  • Poster Session
Front Matter: Volume 7718
icon_mobile_dropdown
Front Matter: Volume 7718
This PDF file contains the front matter associated with SPIE Proceedings Volume 7718, including the Title Page, Copyright information, Table of Contents, and the Conference Committee listing.
Digital Holography
icon_mobile_dropdown
Deep-ultraviolet digital holographic microscopy for nano-inspection
Ahmad Faridian, David Hopp, Giancarlo Pedrini, et al.
We present an off-axis digital holographic setup in the deep ultraviolet to improve the resolution of the optical microscopy down to the nanoscale. A deep (193 nm) UV laser has been used as a source and the setup arranged in a way to avoid aberrations due to the non-perfect optical elements. A cost-effective high aperture objective ( NA = 0.75 ) has been designed which is aberration-corrected for a long working distance. A high resolution approach has been implemented in the setup using oblique illumination to overcome the limitation introduced by the optical system. The system has the capability to investigate samples in both reflection and transmission mode.
Digital holography from shadowgraphic phase estimates
Falk Eilenberger, Dimitris Pliakis, Stefano Minardi, et al.
Digital phase front retrieval from inline, Gabor-type holograms has to overcome the challenge of separating the object wave from its conjugate by retrieving the phase of the optical field. Recently, the so-called 'twin image problem' has received revived interest, mainly in conjunction with lens-less digital holography applications in the XUV or X-ray bands. In this context, we propose to use a recently devised algorithm, the iterative shadowgraphy method (ISM), to solve the twin-image problem and use the retrieved phase front for digital holography applications. The algorithm is based on the principle that the measurement of phase gradients, which drive the diffraction process, enable the retrieval of the transverse phase profile of a field by observing its intensity distribution on different propagation planes. We have proven rigorously that for small phase modulated object waves, the algorithm converges to the correct object wavefront using just two snapshots of the propagated intensity field as input. Because the algorithm is akin to a deconvolution algorithm, experimental noise can destabilize the iteration scheme. In this work, we discuss the influence of noise in the ISM and apply a wavelet-based scheme to regularize the data. We show that the phase retrieved from two experimental, defocused pictures of a weakly absorbing, scattering object can be used to accurately reconstruct the object trough numerical back-propagation. Thus we prove that ISM is suitable for digital holography applications. We compare the ISM to various other schemes, such as direct backpropagation and the Gerchberg-Saxton algorithm and find that the ISM scheme gives a much improved reconstruction of the phase front.
Fast noncontact surface roughness measurements up to the micrometer range by dual-wavelength digital holographic microscopy
Jonas Kühn, Eduardo Solanas, Sébastien Bourquin, et al.
We present fast high-roughness and non-contact surface measurements by digital holographic microscopy (DHM). By using single- and dual-wavelength operation modes, coupled with advanced image stitching and non-measured points management methods, the technique enables two-dimensional roughness measurements up to the micrometer (N6). The sample is mechanically scanned over a surface up to 5 × 0.3 mm2 with 17 holograms each acquired in less than 500 μs, the corresponding phase images stitched together by software, and therefore providing multiple profiles measurement in the ISO definition in less than 30 s. The approach is validated by inspection of several different roughness standards and our technique is demonstrated to be in agreement with two existing well-known techniques in the field.
Managing the depth of focus in 3D imaging through controlled distortion of digital holograms
M. Paturzo, P. Memmolo, A. Finizio, et al.
In digital holography (DH) the hologram is recorded by a CCD while intensity and phase reconstruction are performed numerically. For imaging objects at different depths, holograms are numerically reconstructed in planes that are parallel to the hologram but at different distances 1. However, for objects having 3D extension or 3D shape, only some portions of the object can be in good focus in each of those planes 2-4. The limited depth of focus is affecting all optical and imaging systems. In classical optical microscopy the problem is resolved by scanning mechanically the 3D volume. By such a procedure it is possible to build-up a single image, named Extended Focus Image (EFI), in which all points of the object are in-focus 5. However, the problem remains unresolved for objects changing their shape during the measuring time (i.e. for dynamic events). Various solutions have been proposed adopting DH methods 6-10. In fact, since all DH methods are based on a single image acquisition, it is clear that those methods are useful for dynamic objects (i.e. objects that change their shape during the observation under the microscope). Here we show a new DH methods based on the hologram deformation 11. It has the advantage to be very simple and without additional computational efforts in respect to a standard reconstruction. The method is applied to maintain in focus the reconstructed image of a MEMS subjected to thermal load that changes its position during the hologram acquisition.
New Aspects in Microtopography Measurements I
icon_mobile_dropdown
Investigation of enhanced 2D field-stitching method as a simulation-tool for line-edge roughness in scatterometry
Bartosz Bilski, Karsten Frenner, Wolfgang Osten
Scatterometry is a method commonly used in semiconductor metrology for measuring critical dimension (CD). It relies on measurement of light diffracted on a periodic structure and using it to derive the actual profile by running complex simulations. As CD is getting smaller with next lithography nodes, the Line-Edge Roughness/Line Width Roughness (LER/LWR) are becoming significant fraction of its overall size - therefore there is a need to include them in the simulations. Simulation of the LER/LWR's influence, in its random nature, calls for simulating relatively large fields. These large fields, if treated with rigorous electromagnetic simulations, are either very time-extensive or impossible to conduct, therefore computationally bearable, approximate approach needs to be applied. Our approximate method is "Field-Stitching Method" (FSM). We present its 2D version with varying parameter called "overlap region". We simulate the line grating structure with CD disturbed by LER/LWR and apply Rigorous Coupled Wave Analysis (RCWA) supported by the 2D FSM. We also generate the results obtained exclusively by RCWA, to which we compare the results of the approximate approach. Based on the comparison we discuss the benefits FSM brings and its limitations.
CCD-ARS set-up: a comprehensive and fast high-sensitivity characterisation tool for optical components
A comprehensive characterisation tool for optical component is presented here. Based on both light scattering and imaging principles, the CCD-ARS set up allows to separate and study localized defects contribution from the local roughness measurement. The numerical method involved to discriminate intrinsic roughness from the influence of defects is detailed and some results are given.
Interferometric precision with Fourier-based deflectometry
D. Beghuin, X. Dubois, L. Joannes, et al.
Optical components are routinely tested with inteferometric based techniques. It is show in this paper that Fourier based deflectometry method can be used for optical component inspection through very sensitive and precise wavefront reconstruction. The wavefront is expressed from the raw measurements of the wavefront derivatives as a Zernike polynomial expansion. The form of the polynomials permits absolute instrumental error characterization by repeated measurement of the element under test oriented at several azimuthal angles. It is shown that nanometric precision of Zernike based reconstructions can be performed and that the air turbulences are the experimental limiting factor to the instrumental precision.
New Aspects in Microtopography Measurements II
icon_mobile_dropdown
A deflectometric sensor for the on-machine surface form measurement and adaptive manufacturing
Stefan Krey, Iris Erichsen, Ilka Mahns, et al.
Aspherical lenses are usually generated by a multi-axis computer numerically controlled machine and axis guidance errors as well as wear and environmental influences lead to unavoidable form deviations. Therefore, the manufacturing process is often performed iteratively with intermitting measurement steps outside of the manufacturing machine and repositioning the sample into the machine, which is causing additional errors. We present a new deflectometric sensor designed for the machine integration, so that the form measurement is done inside of the manufacturing machine and errors due to the sample removal are avoided. The compact and robust sensor is based on the deflectometry principle. It detects the deflection angle of a focused laser beam on the surface under test and measures the local slope angle of the surface in 2D. By scanning the specimen's surface using the machine axes and integration of the slope angles, the topography can be calculated. The angular measurement range of +/-9.5° permits the measurement of highly aspheric surfaces, e.g. at a clear aperture of 8 mm a maximum deviation of more than 500 μm can be measured at a resolution on the nanometer scale.
Statistical signatures of random media: application to selective cancellation of scattered light
Jacques Sorrentini, Myriam Zerrad, Claude Amra
A technique for selective cancellation of fully polarized light is presented. Within the context of imaging in random media the polarimetric behaviour of scattered light is addressed: a statistical signature of the scattering origins (surface or bulk) is experimentally demonstrated and then the polarization properties are deduced. In a last step, data are given to show the loss of polarization by spatial average process, which is induced by the microstructure of the scattering samples.
Object-adapted fringe projection technique on scattered data interpolation
Wenjing Zhou, Junzheng Peng, Mingyi Chen, et al.
This paper presents a novel approach to generate object-adapted fringe, which based on scattered data interpolation. It can effectively solve the problems of the existing methods, such as complicated computation process and low accuracy. It needn't map the projector pixels coordinate onto the camera coordinate, only map the camera pixels coordinate onto projector coordinate. The phase of integer pixels of projector coordinate can be interpolated in the term of phase distribution of wanted fringe pattern. Compared to the presently algorithms, the advantages of this algorithm are simple and highly efficiency. This paper expatiates on the principle of the object-adapted fringe generation method using scattered data points. Simulation analysis and experiment results prove the validity and feasibility of the new approach. We also have compared the result between this new approach and other existing methods.
Inspection of MEMS I
icon_mobile_dropdown
Next-generation test equipment for micro-production
Kay Gastinger, Lars Johnsen, Malgorzata Kujawinska, et al.
The paper introduces different approaches to overcome the large ratio between wafer size and feature size in the testing step of micro production. For the inspection of Micro(Opto)ElectroMechanicalSystems (M(O)EMS) a priori information are available to optimise the inspection process. The EU-project SMARTIEHS develops a new concept for high volume M(O)EMS testing. The design of the test station and the fabrication of the first components are presented and the advancements compared to the state of the art are introduced within the following fields: micro-optical interferometer design, micro-optical production, smart-pixel camera and mechanical design. Furthermore the first demonstrators are introduced and experimental results are presented.
Automated multiscale measurement system for MEMS characterisation
In former publications we presented an automated multiscale measurement system (AMMS) based on an adaptable active exploration strategy. The system is armed with several sensors linked by indicator algorithms to identify unresolved defects and to trigger finer resolved measurements. The advantage of this strategy in comparison to single sensor approaches is its high flexibility which is used to balance the conflict between measurement range, resolution and duration. For an initial proof of principle we used the system for inspection of microlens arrays. An even higher challenge for inspection systems are modern micro electro-mechanical systems (MEMS). MEMS consist of critical functional components which range from several millimeters down to micrometers and typically have tolerances in sub-micron scale. This contribution is focused on the inspection of MEMS using the example of micro calibration devices. This new class of objects has completely different surface characteristics and features hence it is necessary to adapted the components of the AMMS. Typical defects found on calibration devices are for example broken actuator combs and springs, surface cracks or missing features. These defects have less influence on the optical properties of the surface and the MEMS surface generates more complex intensity distributions in comparison microlense arrays. At the same time, the surface features of the MEMS have a higher variety and less periodicity which reduce the performance of currently used algorithms. To meet these requirements, we present new indicator algorithms for the automated analysis of confocal as well as conventional imaging data and show initial multiscale inspection results.
Simulation and in-plane movement characterization of 2D MEMS platform
Jerzy Krężel, Karolina Laszczyk, Sylwester Bargiel, et al.
In the paper, we present design, numerical modeling and measurement results of silicon X-Y movable platform dedicated for miniaturized microinterferometric sensor based on grating interferometry. The structure fabricated with double-side DRIE of SOI wafer, provides independent movement in x and y directions in the distance of ±35 μm with driving voltage upto 150 V . The presented microstructure has 160 nm deep diffraction grating integrated on its surface. Small, static movement of the structure, with nanometric resolution, in direction perpendicular to the grating lines, provides phase shifting of two conjugated interfering beams. Optimization of the structure driving in order to achieve maximum movement resolution is covered in details. The in-plane displacements of the structure is characterized with common correlation method that needs no markers imprinted on its surface. Resolution of the method depends on the microscopic imaging system. The performance of the method is presented on the example of various steering modes of the platform, covering parabolic and linear displacement(voltage) characteristics.
Topography and Surface Measurements
icon_mobile_dropdown
Multiresolution analysis of 2D confocal microscope images
D. Bianchi, A. Vernes, G. Vorlaufer, et al.
The functionality of the lifting is exploited scheme to analyze several white light confocal images of tribological/ engineering surfaces in terms of their roughness, waviness and form. The roughness parameters obtained are then compared with those resulting from other standard filtering techniques, like Gaussian filtering. Based on the wavelet transform, an attempt will also be made to provide a robust parameter for surface characterization.
Comparability and uncertainty of shape measurements with white-light interferometers
S. Boedecker, W. Bauer, R. Krüger-Sehm, et al.
We discuss how the results obtained from a white-light interferometer can be compared to tactile measurements. The core idea to achieve comparability is to determine a short cut-off wavelength up to which the spatial frequency components of the surface topography are measured with less than 3 dB attenuation. We demonstrate for different interferometers that the data has to be filtered to achieve a linear transfer characteristic which allows to define the short cut-off wavelength. In addition, we demonstrate investigations of the error sources in shape measurements that we have identified. Results of our work are influencing a VDI/VDE calibration guideline for shape measurements which is currently under development. We show in this paper how the procedure developed for the guideline can be employed to real measurement devices. Uncertainty contributions to the error budget are also discussed and measurements on shape standards are presented.
Modelling the colour of a coated rough-steel surface
V. Goossens, E. Stijns, S. Van Gils, et al.
A metal has a typical grey "metallic" look. Different colours can appear when the metallic surface is covered with a thin transparent layer. This is of course the result of interference, and consequently the colour depends on the optical thickness of the layer. Experimental observations can be completely predicted by theoretical modelling. Using the Fresnel equations the colour can be calculated within excellent agreement of the experimental observations. Fresnel, of course, assumes perfectly flat surfaces. Roughness complicates matters: the optical path within the coating no longer depends on the local thickness of the coating only, but also on the angle of scattering at the underlying metal, both varying from point to point. In this presentation we describe how the roughness can be taken into account in predicting the colour. The scattered light was calculated using the "Modeled Integrated Scattering Tool", a computer program developed at the "National Institute of Standards and Technology" (USA). The non-uniformity of the coatings was taken into account by considering different coatings thicknesses. The resulting colour is calculated by taking the average of the obtained reflections. Finally the colours were measured with a spectrophotometer. It turned out that the modelled and the measured colours agree very well, confirming the validity of the used model.
Measurements of characteristic parameters of extremely small cogged wheels with low module by means of low-coherence interferometry
Anna Pakula, Slawomir Tomczewski, Andrzej Skalski, et al.
This paper presents novel application of Low Coherence Interferometry (LCI) in measurements of characteristic parameters as circular pitch, foot diameter, heads diameter, in extremely small cogged wheels (cogged wheel diameter lower than θ=3 mm and module m = 0.15) produced from metal and ceramics. The most interesting issue concerning small diameter cogged wheels occurs during their production. The characteristic parameters of the wheel depend strongly on the manufacturing process and while inspecting small diameter wheels the shrinkage during the cast varies with the slight change of fabrication process. In the paper the LCI interferometric Twyman - Green setup with pigtailed high power light emitting diode, for cogged wheels measurement, is described. Due to its relatively big field of view the whole wheel can be examined in one measurement, without the necessity of numerical stitching. For purposes of small cogged wheel's characteristic parameters measurement the special binarization algorithm was developed and successfully applied. At the end the results of measurement of heads and foot diameters of two cogged wheels obtained by proposed LCI setup are presented and compared with the results obtained by the commercial optical profiler. The results of examination of injection moulds used for fabrication of measured cogged wheels are also presented. Additionally, the value of cogged wheels shrinkage is calculated as a conclusion for obtained results. Proposed method is suitable for complex measurements of small diameter cogged wheels with low module especially when there are no measurements standards for such objects.
Inspection of Microoptics
icon_mobile_dropdown
Optical characterization of semiconductor microlenses using a Mach-Zehnder interferometer in the near-infrared region
We present a Mach-Zehnder interferometer to characterize semiconductor microlenses in transmission. We therefore make use of a wavelength of 1550nm with the possibility of expansion towards the IR spectrum. In this paper, the concept of our interferometer as well as the set-up is explained. We demonstrate the working principle and measurements on fused silica and silicon microlenses and benchmark the experimental results with measurement data obtained with well established micro-optics instrumentation tools.
Sensitivity enhancement of bimaterial MOEMS thermal imaging sensor array using 2-λ wavelength readout
Diffraction gratings integrated with MEMS sensors offer sensitive displacement measurements. However, the sensitivity of the interferometric readout may drop significantly based on the sensor position. A two wavelength readout method was developed and tested previously in order to maintain the sensitivity of the readout > %50 maximum sensitivity over a broad range (i.e. several um's for visible wavelengths). This work demonstrates the sensitivity enhancement of a MEMS thermal imaging sensor array. Measurement of the target scene was performed using two lasers at different wavelengths (633, 650 nm). The diffracted 1st order light from the array was imaged onto a single CCD camera for both sources. The target scene was reconstructed by observing the change in the 1st diffracted order diffraction intensity for both wavelengths. Merging of the data, acquired with two different sources, is performed by assigning each pixel in the final image with the higher sensitivity pixel among two measurements. > 30% increase in the average sensitivity was demonstrated for the sensor array.
Characterization and inspection of micro-lens array by SCBS microscope
Weijuan Qu, Oi Choo Chee, Yingjie Yu, et al.
Micro optics characterization by use of digital holographic microscopy (DHM) is proposed recently. DHM can provide phase image and very suitable for the quantitative mapping of transmission material with a certain refractive index. However, it has been found that in DHM the microscope objective introduces a spherical phase curvature to the object wave which may disturb the measurement especially for the micro-lens array. We present single lens characterization and uniformity inspection of micro-lens array by use of a new concept DHM system developed recently. The new concept DHM is based on a single cube beam-splitter (SCBS) configuration using an MO to provide high resolution on the test specimen. The SCBS is put into the optical path with a small angle between the optical axis and its central semireflecting layer. In this way, light is split into two parts when in and combined to form two holograms when out of SCBS. For the symmetrical configuration of the beam splitter cube, the spherical phase curvature introduced by the MO can be physically compensated during interference. Because no separated light propagation outside the SCBS, the whole system is insensitive to vibration. As light coming out of the MO serves not only the object beam but also the reference beam, it enables the inspection of the uniformity across a whole micro-lens array. Geometrical characterisation of the shape and surface roughness of micro-lens is given as well as the uniformity analysis across the whole array.
Multispectral characterization of diffractive micromirror arrays
Dirk Berndt, Jörg Heber, Steffen Sinning, et al.
The present article discusses an optical concept for the characterization of diffractive micromirror arrays (MMAs) within an extended wavelength range from the deep ultra-violet up to near-infrared. The task derives from the development of a novel class of MMAs that will support programmable diffractive properties between 240 nm and 800 nm. The article illustrates aspects of the achromatic system design that comprises the reflective beam homogenization with divergence control and coherence management for an appropriate MMA illumination as well as the transfer of phase modulating MMA patterns into intensity profiles for contrast imaging. Contrast measurements and grey scale imaging demonstrate the operation of the characterization system and reflect the encouraging start of technology development for multispectral, diffractive MMAs.
Unification of approaches to optimization and metrological characterization of continuous-relief diffractive optical elements
V. P. Korolkov, S. V. Ostapenko, R. K. Nasyrov, et al.
Profilometry gives good possibility to evaluate a quality of diffractive optics. However, distorted representation of diffractive zone boundaries inherent to majority of profilometric devices does not allow correct restoring the diffraction efficiency on the base of the profilogram. Similar problem appears at numerical optimization of direct laser writing or grey-tone lithography process for diffractive optics fabrication, because typical merit function for the optimization is the diffraction efficiency. The paper describes unified approach to characterization of continuous-relief DOEs and to optimization of fabrication process for these elements. Generalized point-spread function of the fabrication process (GPSF) has been used to simulate a grating profile for optimization of fabrication process and for evaluating the diffraction efficiency from profilometric data. For optimization purpose a designed profile is convoluted with GPSF for simplified modeling profile forming. For characterization purpose the diffractive structure is simulated by convolution of GPSF and a function approximating the profile measured by a profilometer. The results of numerical optimization and profilometric characterization for DOEs fabricated by graytone lithography have been considered.
Advanced Microscopy Techniques
icon_mobile_dropdown
Multi-wavelength digital holographic microscopy for high-resolution inspection of surfaces and imaging of phase specimen
Sebastian Kosmeier, Patrik Langehanenberg, Sabine Przibilla, et al.
Main drawbacks of using laser light in digital holographic microscopy (DHM) are coherent noise and parasitic reflections in the experimental setup as these disturbances affect the reconstructed images and restrict the measurement accuracy. Partially coherent light reduces such effects. On the other hand, the application of light sources with a low coherence length requires a precise alignment of the experimental equipment. Thus, it was investigated, if coherence properties of spectral broadened light sources can be generated synthetically with laser light. Therefore, amplitude and phase distributions are superposed that result from the reconstruction of digital holograms which are recorded separately at slightly different wavelengths. In this way, the robust alignment of a laser-based experimental setup due to long coherence lengths is combined with the noise reduction advantage of partial coherent light. By using a single fiber coupled tuneable laser the multi-wavelength approach can be used with already existing DHM setups, e. g., in combination with commercial microscopes. The performance of the method for the observation of phase objects is illustrated by results obtained from the topography analysis of reflective surfaces and from the application for quantitative phase contrast imaging of thin living tumor cells.
Advances in the development of the LNE metrological atomic force microscope
Benoit Poyet, Sébastien Ducourtieux
SPM users need to calibrate their instrument periodically in order to provide some traceable measurements and to improve their measurements capabilities. This calibration task is achieved thanks to standards - 1D or 2D gratings - whose dimensional characteristics have been characterized by a National Metrology Institute. Within this context, LNE is developing a home made metrological Atomic Force Microscope (mAFM) with direct traceable measurement capabilities. This mAFM will be able to calibrate those standards. The measurement volume is about 60 μm for X and Y axis and about 10 μm for Z axis. The expected uncertainty for the tip-sample relative position measurement is in the order of 1 nm. This paper focus on the specific development that have been achieved: a three axis flexure stage with very high guidance capability, an optimized metrology loop and a specific design with four differential dual-pass interferometer that provide an Abbe error below 1nm for the whole measuring volume.
Millimeter scale topographical image of highly integrated optical structures using enlarged metrological atomic-force microscopy
Suat Topsu, Luc Chassagne, Ahmad Sinno, et al.
We developed a home-made sample-holder unit used for 2D nano-positioning with millimeter travelling ranges. For each displacement axis, the system includes a long range travelling stage and a piezoelectric actuator for accurate positioning. Specific electronics is integrated according to metrological considerations, enhancing the repeatability performances. The aim of this work is to demonstrate that near-field microscopy at the scale of a chip is possible. We chose here to characterize highly integrated optical structures. For this purpose, the sample-holder is integrated into an Atomic Force Microscope in order to perform optical imaging. To demonstrate the overall performances, a millimeter scale optical images have been realized.
One-shot measurement of surface profile using an astigmatic microscope system
We propose a microscopic system which could be applied to three-dimensional surface profile measurement. In the system, a two-dimensional pinhole array is imaged onto the surface under measurement by an objective lens. These spots act as discrete object points which are then imaged to the CCD chip by the microscope which contains two orthogonal cylindrical lenses. Due to the astigmatism of the two cylindrical lenses, the shape of the image of object points on the CCD camera becomes oval unless the object point is located at a position which satisfies the best imaging condition. By calculating the focus error signal using the intensities measured at a group of CCD cells, the information on the distance of the corresponding object point could be found out. The basic concept of the system was checked by computer simulation on the point spread function of various object points. A preliminary measurement system which consists of the same optical components used in the computer simulation has been set up for verification of the idea. Since this system requires only one image to analyze the surface profile, it is a one-shot measurement system, and is insensitive to environmental noises such as mechanical vibration.
Test objects for calibration of SEMs and AFMs operating at the nanoscale
V. P. Gavrilenko, Yu A. Novikov, A. V. Rakov, et al.
Test objects for calibration of scanning electron microscopes (SEMs) and atomic force microscopes (AFMs) operating in the nanometer range are analyzed. All the test objects can be divided into three groups: (a) structures with right-angled profiles; (b,c) structures with trapezoidal profiles and small/large angles of sidewalls inclination. Calibration methods for SEMs and AFMs, based on such structures, are presented. Structures with trapezoidal profiles and large angles of sidewall inclination offer the most broad range of calibration opportunities for SEMs and AFMs.
Inspection of MEMS II
icon_mobile_dropdown
Digital reflection holography based systems development for MEMS testing
MEMS are tiny mechanical devices that are built onto semiconductor chips and are measured in micrometers and nanometers. Testing of MEMS device is an important part in carrying out their functional assessment and reliability analysis. Development of systems based on digital holography (DH) for MEMS inspection and characterization is presented in this paper. Two DH reflection systems, table-top and handheld types, are developed depending on the MEMS measurement requirements and their capabilities are presented. The methodologies for the systems are developed for 3D profile inspection and static & dynamic measurements, which is further integrated with in-house developed software that provides the measurement results in near real time. The applications of the developed systems are demonstrated for different MEMS devices for 3D profile inspection, static deformation/deflection measurements and vibration analysis. The developed systems are well suitable for the testing of MEMS and Microsystems samples, with full-field, static & dynamic inspection as well as to monitor micro-fabrication process.
Measuring ultra-sonic in-plane vibrations with the scanning confocal heterodyne interferometer
C. Rembe, F. Ur-Rehman, F. Heimes, et al.
The advanced progress in miniaturization technologies of mechanical systems and structures has led to a growing demand of measurement tools for three-dimensional vibrations at ultra-high frequencies. Particularly radio-frequency, micro-electro-mechanical (RF-MEM) technology is a planar technology and, thus, the resonating structures are much larger in lateral dimensions compared to the height. Consequently, most ultra-high-frequency devices have larger inplane vibration amplitudes than out-of-plane amplitudes. Recently, we have presented a heterodyne interferometer for vibration frequencies up to 1.2 GHz. In this paper we demonstrate a new method to extract broad-bandwidth spectra of in-plane vibrations with our new heterodyne interferometer. To accomplish this goal we have combined heterodyne interferometry, scanning vibrometry, edge-knife technique, amplitude demodulation, and digital-image processing. With our experimental setup we can realize in-plane vibration measurements up to 600 MHz. We will also show our first measurements of a broad-bandwidth, in-plane vibration around 200 MHz. Our in-plane and out-of-plane vibration measurements are phase-correlated and, therefore, our technique is suitable for broad-bandwidth, full-3D vibration measurements of ultrasonic microdevices.
Image Reconstruction and Signal Processing
icon_mobile_dropdown
Motion detection using speckle photography and extended fractional Fourier transform
Speckle photography explores the possibility of determining the motion like in-plane translation and out-of-plane rotation or tilt of optically rough surface from the speckle shift that takes place at the recording plane. A simple correlation based speckle photographic system has been proposed that implements two simultaneous optical extended fractional Fourier transforms (EFRTs) of different orders using only a single lens and detector to simultaneously detect both the magnitude and direction of translation and tilt by capturing only two frames: one before and another after the object motion. Theoretical analysis and experiment results are presented.
Electromagnetic prediction of multiscale depolarization
Myriam Zerrad, Jacques Sorrentini, Gabriel Soriano, et al.
Gradual depolarization of light is calculated in a spatial average process thanks to an exact electromagnetic calculation of surface scattering. The results allow to predict and describe the progressive loss of polarization at different scales and are completed by a statistical approach.
Error analysis of 3D shearography using finite-element modelling
D. T. Goto, R. M. Groves
This paper describes the development of an opto-mechanical simulation of a complete shearography system, including the shearography instrument, the samples and the test environment. This simulation is applied to the measurement of 3D strains in engineering samples. The samples are a cylinder loaded by internal pressure and a flat plate under axial load. Finite elements models are used to obtain the displacements fields. A 3D shearography instrument consisting of a laser and four cameras has been simulated using the optical model. Combining the finite elements and optical simulations allows phase maps to be generated, which are the predictions for measurements using the complete test setup. Errors due to sample material properties, loading inaccuracy and dimensional tolerances are included in the model and this allows the calculation of phase maps at the minimum and maximum error limits. The simulation through path lengths and the simulation by inverted shearography processing provide similar results and the difference is associated with the approximation introduced by the sensitivity vector.
Phase retrieval in ESPI from a dense phase fringe pattern
A method for phase retrieval from dense phase fringe in phase-shifting electronic speckle pattern interferometry (ESPI) using fast windowed Fourier filtering (FWFF) method is presented. Phase-shifting method is an accurate method in ESPI for phase retrieval. However, the wrapped phase map is corrupted by the speckle noise which will significantly affect the phase unwrapping technique to acquire the accurately unwrapped phase map. For relatively large deformation measurement, the wrapped phase map becomes dense and hence becomes difficult to be demodulated properly. Conventional sine-cosine average filtering technique is difficult to reduce the noise in such case. FWFF algorithm is useful for noise reduction of wrapped phase maps. In this paper we propose to measure relatively large deformation by reducing noise using FWFF. Both the simulation and experimental results are presented to show that the proposed method can efficiently reduce the noise of the dense wrapped phase map and the deformation can be obtained using phase unwrapping technique. Further, the effect of speckle size on the results is also discussed.
Specialised Techniques and Sensors
icon_mobile_dropdown
Narrow-selection bandwith of femtosecond laser comb with application to changes in optical path distance
In this contribution we propose a scheme of Fabry-Perot interferometer measuring the absolute distance in atmosferic conditions using a femtosecond laser comb. The spacing of mirrors of the Fabry-Perot interferometer represents the length standard referenced to stable optical frequency of the femtosecond mode-locked laser. With the help of highly selective optical filter it is possible to get only a few of separate spectral components. By tuning and locking of the Fabry-Perot cavity to a selected single component it is possible to get a mechanical length standard with the uncertainty of the repetition frequency of the femtosecond laser. If the interferometer measures distance in atmospheric conditions, the absolute value of the laser wavelength fluctuates with a refractive index of air. Compairing the measurement in evacuated chamber with measurement in ambient air leads to enhanced precision in measurement of refrective index of air.
Investigations of fast-rotating bodies using an interferometric laser Doppler distance sensor system
P. Günther, F. Dreier, T. Pfister, et al.
One challenge in micrometrology is to measure precisely the shape of fast moved objects with high temporal resolution. Deformation measurements of lightweight composite materials are of importance to guarantee its robustness e.g. against impacts. In a high-speed rotor test rig their elastic and plastic deformations due to centrifugal forces can be evaluated. Non-contact inspection techniques with micron resolution under vacuum conditions are necessary. For the first time, we present high-speed deformation measurements of a cylindrical rotor by a non-incremental laser Doppler distance sensor system using fiber and diffractive optics. Besides the determination of the radial enlargement also wobbling of the rotor was monitored.
Accuracy of ellipsometric measurements of Si-SiO2 structures
V. P. Gavrilenko, Yu. A. Novikov, A. V. Rakov, et al.
We consider features and restrictions of ellipsometry as applied to the system consisting of a silicon dioxide film on silicon, which is widely used in nanoelectronics. A method is developed for ellipsometric determination of the presence or absence of the "film-substrate" interfacial layer. Contributions of various factors into the total measurement uncertainty are analyzed, including the factors related to the ellipsometer characteristics.
An optical microform calibration system for ball-shaped hardness indenters
Zhi Li, Sai Gao, Konrad Herrmann
Accurate measurement of the mechanical properties of materials with micro-/nanoindentation methods demands precise knowledge of tip geometry of the indenters in use. An optical microform calibration system for ball-shaped indenters, and Rockwell indenter in particular, is therefore developed in Physikalisch-Technische Bundesanstalt. The calibration system is fundamentally realized on basis of an optical confocal microscope. By means of investigating the spherical aberration introduced by the object under test, the calibration system has the capability to quantitatively determine the averaging radius of a spherical body (up to 300 μm) with an uncertainty of ~ 6 x 10-3. To apply the calibration system for characterization of a partial spherical object, e.g. a Rockwell indenter, a simple method has been proposed to improve the possible resolution of the calibration system. The basic configuration of the calibration system and preliminary experimental results are detailed in this paper. Further extension of the functionality of the calibration system is outlined.
Process Monitoring Systems
icon_mobile_dropdown
Optical metrology for process control: modeling and simulation of sensors for a comparison of different measurement principles
D. Fleischle, W. Lyda, F. Mauch, et al.
To increase the quality of future products and decrease the manufacturing cost at the same time a systematic control of the fabricated objects is necessary. A promising approach for inline quality control of surface and form parameters is the use of optical measurement systems. This is due to the non-destructive nature of the optical measurement techniques. But in the production environment there are many challenges to overcome for optical sensors. Examples are temperature fluctuation, vibrations, fluids on the object surface and rough surfaces. Therefore, it is likely that not all optical measurement methods are suitable for that task. Hence, a classification of the different principles is necessary with the objective to identify the most appropriate measurement approach for a particular inspection task. In this contribution we start with a systematic approach for a review of sensors within production systems. Then we concentrate on the most robust class of optical sensors, the point sensors. In order to minimize the effect of mechanical vibrations it is desirable to employ measurement techniques that are able to measure the height of an object point in a very short time. Therefore, we focus in this work on chromatic-confocal microscopy and spectral interferometry. The aim is to compare these measurement methods for their ability to cope with the challenges given by the production environment in general. To this end we will develop simulation models for the mentioned techniques and compare two exemplarily sensors for their capability to be used for process control.
Scatterometric analysis of chatter marks occurring in industrial grinding processes
J. Böhm, A. Vernes, M. Jech, et al.
Grinding processes often underlie chattering which results in a wavy surface of the ground metal sheet. In this work it will be shown that the angle resolved light scattering method is not only suitable to monitor industrial grinding processes, in both waviness and roughness modes, but also enables the determination of the waviness of a ground surface. Furthermore it is demonstrated that the roughness, e.g. the average roughness Ra and roughness depth Rz, of a ground surface directly depends on the grinding pressure. The light scattering value Aq correlates with the roughness values obtained with a stylus probe system. In this way it is proven that the light scattering system unambiguously determines chatter marks and the roughness of a metal sheet during a grinding process.
An optically non-destructive, non-contact, and vibration-insensitive edge quality assessment system for semiconductor and harddisk drive industries
Sarun Sumriddetchkajorn, Kosom Chaitavon
This paper proposes an edge quality assessment system for a sub-millimeter thick wafer bar suitable for semiconductor and harddisk drive industries. Our key approach is based on Fourier optics analysis in a retro-reflective optical architecture featuring nondestructive and non-contact measurement. In our proposed design, a collimated optical beam is incident on a sub-millimeter thick wafer bar from its side. In this way, part of the optical beam is reflected back and is then Fourier transformed on a two-dimensional image sensor. By investigating the far-field diffraction pattern, important parameters of the wafer bar such as thickness, surface parallelism, edge parallelism, and surface defect can simultaneously be analyzed. To our knowledge, this is the first time that these important parameters are analyzed by only one system. Other key features include low cost and vibration insensitivity. Our field test study using a 635-nm wavelength laser and a 15-cm plano-convex lens for specified 246-μm thick rectangular wafer bars are discussed.
Optical coherent sensor for monitoring and measurement of engineering structures
Among many coherent optical methods one should distinguished Grating Interferometry (GI) which allows accurate in-plane displacement measurements and Digital Speckle Pattern Interferometry (DSPI) used for in-plane and out-of-plane measurements. Development of sensors based on both methods mentioned above as complementary ones will provide user universal group of sensors from which depending on measurement requirements such as measuring range, object surface profile and measurement conditions the most appropriate can be chosen. In-plane displacement measurements are of interested of different branches of industry - from micro (i.e.: characterization of MEMS or MOEMS) to civil engineering (i.e.: Structural Health Monitoring systems). In the paper the new optical coherent sensor for in-plane displacement and strain measurements is presented. The sensor combines GI and DSPI methods in one device which can be used for testing of objects with different types of surfaces. GI requires the specimen grating attached at the surface but provides very good measurement accuracy however DSPI can be applied for testing of objects with rough surfaces but due to higher noise gives lower accuracy. The sensor can work in three modes: as GI only, DSPI only and both GI and DSPI simultaneously. The third mode can by useful when the specimen grating is attached on the part of object under test only. In the paper the theoretical background of the sensor is presented. For confirmation of GI/DSPI sensor possibilities the specially designed demonstrator is described and the exemplary results obtained during its laboratory tests are shown. Successful application of proposed sensor is possible due to its miniaturization, simplicity of operation by user (compact structure and automation of measurement procedure) and low cost. The last mentioned condition will be possible due to low cost replication techniques with usage of silicon technology.
Highly sensitive wavefront sensor for visual inspection of bare and patterned silicon wafers
I. Lazareva, A. Nutsch, M. Schellenberger, et al.
Wave front sensing allows determination of topography and flatness of reflecting surfaces. As there is no contact to the surface, the method enables a contamination free and non-destructive surface analysis which meets the requirements of semiconductor and optical industries. This paper demonstrates that the sensor is suitable for defect estimation on the studied surface without topography reconstruction, where defect is considered as a dimple or a mound on the wafer surface. Based on the development, it is possible to reduce the evaluation time for the measurements by the reduction of both processing time for topography calculation and the number of acquired images. The method judges whether the surface of the studied sample is defect-free. That is a key for fast and reliable inspection. The Makyoh image shows the light distribution of the beam reflected from the surface. The images of bare wafers show unevenly alternate bright and dark areas. These areas appear due to the focusing and defocusing of the wave front and are caused by the local surface defects. The intensity changes are qualitatively interpreted with the help of the geometrical optics, and the maximum curvature of the defects on the studied surface is roughly estimated. Furthermore, the measurements of the sample rotated underneath the fixed sensor prove that the intensity changes are the result of the surface shape and not due to the aberration in the optical system. According to the results the method is useful for characterization of both micro- and nanometer scale surface flatness deviations.
Poster Session
icon_mobile_dropdown
Semi-derivative real filter for the measurement of the wavefront distortion
Rafał Kasztelanic, Maciej Barański
The paper deals with a computer simulation and an experimental realization of a new kind of an optical setup for simple and fast control of the wavefront distortion. The method is based on a 4f coherent light correlator setup with a semiderivative real filter placed in the Fourier plane. In the setup described the distorted wavefront passes through the filter located in the frequency plane of the correlator. In the output plane a camera registers the intensity of light whose gradient carries information about the shape of the wavefront distortion. The output image is simple to analyze and interpret because the intensity is directly proportional to the first derivative of the distortion of the input wavefront. The role of the computer simulations presented in the paper was, first of all, to check how the semiderivative real filter deals with various kinds and levels of distortions. Secondly, it was to estimate how the technical limitations of the filter and the setup can influence the quality of the results obtained. The experiment checked the possibility of using the setup for examining the distortion of wavefront caused by hot air. The experimental results obtained show that the method is suitable and effective for real-time monitoring of the distortion of the wavefront, which allows for its use in adaptive optics and phase visualization. The method also allows for measuring other phase objects where the gradient of the phase and the thickness of the object undergo abrupt changes.
In situ control of roughness of processed surfaces by reflectometric method
Yuriy D. Filatov, Oleksandr Yu. Filatov, Uwe Heisel, et al.
It is demonstrated that the removal rate in polishing optic materials decreases exponentially with increasing bond energy in these materials and the polished surface roughness depends on the ratio of natural frequencies of molecular fragments on the tool and workpiece surfaces. The dependences of polished surface roughness on the slime particle size, permit an optimal choice of powders for a bound-abrasive polishing tools, which will ensure a required polished surface quality. The dependences of the polished surface microprofile parameters on the coordinate of a zone under study, which were described by periodic functions, do represent the effects that occur in the tool-workpiece contact zone. The optical monitoring system, the device for giving of the technological environment in a zone of contact of the tool and a processed detail and a technique of adjustment of the machine tool for finishing processing of precision optic surfaces are described. The relation of intensity of the beam reflected from a surface, to intensity of a falling beam allows to estimate a reflexion index in situ. Increase of reflexion index in process of roughness decrease is established. Possibility of active quality assurance of precision surfaces in the course of processing is shown.
A micro-SPM head array for large-scale topography measurement
S. Gao, Z. Li, K. Herrmann
Rapid advances in nano-positioning/motion technology have offered metrologists in the field of precision engineering larger and larger potential measurement range. A concept of micro-SPM head array is proposed in this paper to enhance the performance of the currently available nano-measuring machines and effectively reduce the measurement time for large specimen. The proposed micro-SPM head array consists of 1 × N ( N = 7 in our case) micro-SPM heads/units, which are realized in one chip by MEMS technique. The kern of each SPM head is an electrostatic comb-drive actuator, whose main shaft protrudes out of the MEMS chip to sense the surface topography of a specimen under test. To further improve the lateral resolution of the micro-SPM head, an AFM tip can then be mounted onto the end of the actuator's main shaft. To ensure the traceability of the measurement results from micro-SPM head, a fiber-based interferometer array is considered to be integrated within the micro-SPM head array so as to in-situ calibrate the in-plane displacement sensing system of the micro-SPM head. Design and simulation of the mico-SPM head array together with the corresponding micro-interferometer will be detailed in this manuscript.
Simple methods for alignment of line distance sensor arrays
H. Bremer, F. Schmähling, C. Elster, et al.
In dimensional nano- and micrometrology, single sensors are often combined into an array of sensors to enable faster measurements by utilizing parallel data acquisition. If combined with appropriate scanning techniques, the use of sensor arrays additionally facilitates the estimation and correction of systematic sensor errors and, thus, enables more accurate measurements. To exploit these options, the arrays have to be aligned carefully with respect to the scanning direction, and, in addition, the lateral distances between the sensors have to be determined with sufficient accuracy. This presentation describes a method to align an optical distance sensor array parallel to the direction of a linear translation stage, which is used to scan the specimen under test, and it describes a method to evaluate and determine the sensor distances with high accuracy. Alignment is a multi step procedure: The first step is to orientate a step edge profile perpendicular to the scanning direction of the sensor using an M-array and an auxiliary CCD camera. In a second step, the line sensor array is scanned across the edge using different rotation angles of the sensor. The positions where the different sensors cross the edge are evaluated to obtain the sensor orientation relative to the scanning direction, the distances between the sensors, and their transversal displacements. We will show experimental data obtained with an optical line sensor array of three single sensors. The measurements will be compared to simulated data carried out with a virtual experiment programmed at PTB. Relevant error sources are assessed and the limitation of the method is discussed.
Optical testing of bifocal diffractive-refractive intraocular lenses using Shack-Hartmann wavefront sensor
A. S. Gutman, I. V. Shchesyuk, V. P. Korolkov
Applicability of the Shack-Hartmann wavefront sensor for the bifocal diffractive-refractive intraocular lens testing is discussed. Measurement method based on quasi-continuous wavefront has been suggested. Light source requirements for testing of MIOL-Accord intraocular lens have been validated. The method has been realized in dioptrimeter including Shack-Hartman sensor and multi-wavelength coherent light source.