Proceedings Volume 6923

Advances in Resist Materials and Processing Technology XXV

cover
Proceedings Volume 6923

Advances in Resist Materials and Processing Technology XXV

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 24 April 2008
Contents: 19 Sessions, 134 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2008
Volume Number: 6923

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6923
  • Keynote Session
  • Materials and Processes for Immersion Lithography I
  • Materials and Processes for Immersion Lithography II
  • Materials and Processes for Double Patterning/Double Exposure
  • Molecular Resists
  • Simulation of Resist Processes
  • ARCs and Multilayer Materials and Processes
  • EUV Resists
  • Resist Fundamentals
  • Resist Materials and Processes
  • Posters: Molecular Resists
  • Posters: Materials and Processes for Immersion Lithography
  • Posters: Materials and Processes for Double Patterning/Double Exposure
  • Posters: Resist Fundamentals
  • Posters: ARCs and Multilayer Material and Processes
  • Posters: Simulation of Resist Processes
  • Posters: Resist Materials and Processes
  • Posters: EUV Resists
Front Matter: Volume 6923
icon_mobile_dropdown
Front Matter: Volume 6923
This PDF file contains the front matter associated with SPIE Proceedings Volume 6923, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and the Conference Committee listing.
Keynote Session
icon_mobile_dropdown
Rise of chemical amplification resists from laboratory curiosity to paradigm enabling Moore's law
Hiroshi Ito
The concept of chemical amplification resists was proposed from IBM Research about 25 years ago. Although initially considered laboratory curiosity even within IBM, the tBOC resist based on acid-catalyzed deprotection was employed in a negative mode in mass production of 1 Mbit DRAMs by deep UV lithography in IBM in the mid 80's. Development of positive 248 nm resists faced a devastating postexposure delay problem, which threatened the future of chemical amplification resists. Tracing the cause to contamination of the resist film surface with airborne basic substances resulted in development of environmentally stable resist systems, which cemented the industry-wide acceptance of chemical amplification resists, enabling the semiconductor industry to follow the Moore's law. The migration from 248 to 193 nm necessitated abandonment of the etch-resistant but absorbing phenolic structure and introduction of alicyclic structures for transparency and etch resistance. Several platforms were developed, including polymethacrylates, all-norbornene systems, cycloolefin-maleic anhydride co- and terpolymers (COMA), and vinyl ether-maleic anhydride (VEMA) systems. Replacement of phenol with carboxylic acid for transparency and aqueous base development resulted in swelling. Lactones were incorporated to increase the polarity of hydrophobic alicyclic polymers. Hexafluoroalcohol was introduced to replace carboxylic acid and became employed ubiquitously in 157 nm resists, for transparency and base development. Although 157 nm lithography has been abandoned, the fluoroalcohol group has been heavily utilized in dry and wet 193 nm resists and immersion topcoats, and as additives for surface segregation. The chemical amplification resists initially developed for 1 &mgr;m patterning can now print <30 nm features. The question is how far chemical amplification resists can go in terms of resolution, maintaining sensitivity (or even increasing the sensitivity) while improving line edge roughness (LER).
Materials and Processes for Immersion Lithography I
icon_mobile_dropdown
The limitations of high-index resists for 193-nm hyper-NA lithography
This paper will investigate the potential benefits and limitations of increasing the refractive index of the photoresist for water and high-index immersion based lithography. The primary potential benefits are increased exposure latitude due to restoration of the TM polarization component and improved depth of focus due to a delay in the onset of image-induced top-loss. After first understanding the physical origins of these effects, a series of simulation studies will probe the level of impact they may have for the 32nm and 22nm technology nodes. It is concluded that, although they may provide some process latitude relief, the benefits are minimal for 1.35NA water immersion, especially when weighed against the likely required development effort and cost. The benefits are slightly more compelling for high-index immersion (>1.5 NA), but a high index resist does not appear to be critical, provided the resist is at least as large as the immersion fluid index. A comparable benefit can be achieved with a conventional resist by using polarized illumination (a trend already happening for various reasons) and thinning the resist by ~9% for 1.35NA water immersion and ~15% for 1.55NA high-index immersion. Additionally, increasing the refractive index is typically accompanied by a corresponding increase in absorption. This will be addressed, concluding the limitations of absorption are likely chemical and not optical in nature. High absorption is likely tolerable, provided the chemistry can be engineered to account for exponential intensity decay. The level of difficulty in doing so is addressed.
High-index resist for 193-nm immersion lithography
ArF immersion lithography using water as a fluid medium enables production of 45 nm features. Extending immersion lithography to 32 nm or below requires increases in the refractive indices of the lens material, the immersion fluid, and the resist material. However, a material with a high refractive index generally also has high absorbance. In attempt to design a resist with high refractive index and low absorbance, we studied several types of sulfur-containing polymers and determined which sulfur groups increase the refractive index without increasing the absorbance at 193 nm. We describe new thioester and sulfone structures that enable high index with low absorbance. This chemistry has been exploited to produce polymers with a refractive index of 1.8 at 193 nm and an absorbance less than 1.4 &mgr;m-1. The compatibility of the sulfur functionality with chemically amplified imaging chemistry was demonstrated by printing at 193 nm.
Development of an operational high refractive index resist for 193nm immersion lithography
Generation-three (Gen-3) immersion lithography offers the promise of enabling the 32nm half-pitch node. For Gen-3 lithography to be successful, however, there must be major breakthroughs in materials development: The hope of obtaining numerical aperture imaging ≥ 1.70 is dependent on a high index lens, fluid, and resist. Assuming that a fluid and a lens will be identified, this paper focuses on a possible path to a high index resist. Simulations have shown that the index of the resist should be ≥ 1.9 with any index higher than 1.9 leading to an increased process latitude. Creation of a high index resist from conventional chemistry has been shown to be unrealistic. The answer may be to introduce a high index, polarizable material into a resist that is inert relative to the polymer behavior, but will this too degrade the performance of the overall system? The specific approach is to add very high index (~2.9) nanoparticles to an existing resist system. These nanoparticles have a low absorbance; consequently the imaging of conventional 193nm resists does not degrade. Further, the nanoparticles are on the order of 3nm in diameter, thus minimizing any impact on line edge roughness (LER).
Non-topcoat resist design for immersion process at 32-nm node
Steven Wu, Aroma Tseng, Bill Lin, et al.
At the 32nm node, the most important issue for mass production in immersion lithography is defectivity control. Many methods have been studied to reduce post-exposure immersion defects. Although a topcoat process demonstrates good immersion defect prevention, a topcoat-less resist process is an attractive candidate for immersion lithography due to cost reduction from a simplified process. In this paper we took the innovative approach of chemically designing an internal self-assembling barrier material that creates a thin embedded layer which functions as a topcoat. Data will be presented on this novel self assembly concept, illustrating the control of leaching, contact angle and immersion defects. Several optimized process flows with non-topcoat resists were also studied to decrease the amount of immersion defects. This study was used to verify the capability of a topcoat-less immersion process to achieve the low-defectivity levels required for 32nm node production.
Materials and Processes for Immersion Lithography II
icon_mobile_dropdown
Self-segregating materials for immersion lithography
Daniel P. Sanders, Linda K. Sundberg, Phillip J. Brock, et al.
In this paper, we employ the self-segregating materials approach used in topcoat-free resists for water immersion lithography to extend the performance of topcoat materials for water immersion and to increase the contact angles of organic fluids on topcoat-free resists for high index immersion lithography. By tailoring polymers that segregate to the air and resist interfaces of the topcoat, high contact angle topcoats with relatively low fluorine content are achieved. While graded topcoats may extend the performance and/or reduce the cost of topcoat materials, the large amount of unprotected acidic groups necessary for TMAH development prevent them from achieving the high contact angles and low hysteresis exhibited by topcoat-free resists. Another application of this self-segregating approach is tailoring resist surfaces for high index immersion. Due to the low surface tension and higher viscosities of organic fluids relative to water and their lower contact angles on most surfaces, film pulling cannot be prevented without dramatically reducing wafer scan rates; however, tuning the surface energy of the resist may be important to control stain morphology and facilitate fluid removal from the wafer. By tailoring fluoropolymer additives for high contact angles with second generation organic high index immersion fluids, we show herein that topcoat-free resists can be developed specifically for high index immersion lithography with good contact angles and lithographic imaging performance.
Development and evaluation of 193nm immersion generation-three fluid candidates
The need to extend 193nm immersion lithography necessitates the development of a third generation (Gen-3) of high refractive index (RI) fluids that will enable approximately 1.7 numerical aperture (NA) imaging. A multi-pronged approach was taken to develop these materials. One approach investigated the highest-index organic thus far discovered. The second approach used a very high refractive index nanoparticle to make a nanocomposite fluid. This report will describe the chemistry of the best Gen-3 fluid candidates and the systematic approach to their identification and synthesis. Images obtained with the Gen-3 fluid candidates will also be presented for a NA ≥ 1.7.
New high-index fluids: exploiting anomalous dispersion for immersion lithography
Elizabeth A. Costner, Kazuya Matsumoto, Brian K. Long, et al.
In immersion lithography a fluid with a high refractive index is used to enable increases in the numerical aperture (NA) of the imaging system and therefore decrease the minimum feature size that can be patterned. Water has been used in first generation immersion lithography at 193 nm for the 45 nm node. To generate still smaller features, fluids with a higher index than water are needed. Both saturated hydrocarbons and a new class of salts with incorporated alkane groups have been studied. Both of these types of fluids possess the "adjustable" absorbance edge behavior needed to provide a fluid with a high index and low absorbance at 193 nm. Since alkanes have physical properties that are difficult to integrate into current fluid handling systems, the aqueous solutions are particularly attractive as more semiconductor-friendly fluids. A full characterization of the optical properties of these fluids will be reported, as well as physical property results and confirmation of the feasibility of 32 nm l/s imaging with 1.5 NA using the salt solutions.
A new class of low bake resists for 193-nm immersion lithography
Ratnam Sooriyakumaran, Richard DiPietro, Hoa Truong, et al.
We report here, new non-acetal containing low bake (PEB < 100° C ) resists that are suitable for immersion lithography. These resists are based on novel low activation energy (low-Ea) tertiary ester protecting groups. One major obstacle to imaging in the sub-50 nm regime using chemically amplified resists is the diminished image integrity in the pattern ("image blur") due to photo-generated acid diffusion into unexposed regions. Low processing temperatures are predicted to decrease the degree of photoacid diffusion and, in turn, decrease the image blur. Even though many low bake resist compositions have previously been reported, they are all based on acetal/ketal protecting groups. Unfortunately, these materials require a stoichiometric amount of water for the photoacid-catalyzed deprotection reaction to proceed. It is usually assumed that the water for the reaction comes from the environment in the bake station. However, fluctuations in humidity could affect the performance of the resist. Furthermore, acetal/ketal-based resists generally lack storage stability. For these reasons, acetal/ketal-based resists did not receive widespread acceptance in the lithography community. With the introduction of water based immersion lithography, acetal/ketal-based resists are expected to have further performance difficulties. Therefore, we targeted the development of new "low blur" resists for 193nm lithography that do not contain acetal/ketal protecting groups.
Process development for high scan speed ArF immersion lithography
Nobuji Matsumura, Norihiko Sugie, Kentaro Goto, et al.
It has been found that 193nm immersion lithography technology can achieve smaller patterns without any modification to the technology infrastructure of existing state-of-the-art 193nm dry lithography. This has made 193nm immersion lithography a promising technology for mass production processes. Recently, scanning speed of the exposure stage has been increasing in order to achieve high throughput for mass production. At present, the adoption of a topcoat is one of the promising candidates for this high speed scanning process. On the other hand, the demand for a non-topcoat process is being pursued from a C.O.O. (cost of ownership) point of view but there are still issues being revealed and concerns to be solved. In this report, feasibility of a comprehensive process for high scanning ArF immersion lithography was discussed. As for the topcoat process, a high receding contact angle topcoat, such as TC-A (JSR), is proving to be a good candidate for mass production using high scanning speed immersion lithography. TC-A has a similar defectivity and lithographic performance to TCX041 (JSR). On the other hand, the feasibility of a non-topcoat process was also investigated. CD uniformity, defectivity and lithography performance of AIM5120JN and AIM5570JN (JSR) data indicate that the non-topcoat process can be adopted for mass production process. An immersion cluster comprised of a high volume production immersion exposure tool, S610C (NIKON) having 1.3 NA and CLEAN TRACKTM LITHIUSTM i+ (TEL) track system were used in this study.
Immersion resist process for 32-nm node logic devices
Tatsuhiko Ema, Koutaro Sho, Hiroki Yonemitsu, et al.
Key issues of resist process design for 32nm node logic device were discussed in this paper. One of them is reflectivity control in higher 1.3NA regime. The spec for the reflectivity control is more and more severe as technology node advances. The target of reflectivity control over existent substrate thickness variation is 0.4%, which was estimated from our dose budget analysis. Then, single BARC process or stacked mask process (SMAP) was selected to each of the critical layers according to the substrate transparency. Another key issue in terms of material process was described in this paper, that is spin-on-carbon (SOC) pattern deformation during substrate etch process. New SOC material without any deformation during etch process was successfully developed for 32nm node stacked mask process (SMAP). 1.3NA immersion lithography and pattern transfer performance using single BARC
Materials and Processes for Double Patterning/Double Exposure
icon_mobile_dropdown
Development of materials and processes for double patterning toward 32-nm node 193-nm immersion lithography process
A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed for narrow trench pattern formation, which is effective to the double trench process that is one of the candidates of double patterning process for 32 nm node semiconductor devices. No swelling property was realized in the developing step, in which the dissolution mechanism was discussed. Significantly better LWR and resolution on narrow trench pattern were observed with this negative tone development compared to positive tone development. These results suggest that this negative tone development process is one of the promising candidates for double trench process. Feasibility of double development with negative and positive development process was evaluated as a candidate for pitch frequency doubling process, and quite low k1 number of 0.23 was obtained.
Resist freezing process for double exposure lithography
Kuang-Jung Rex Chen, Wu-Song Huang, Wai-Kin Li, et al.
In this study, we have developed a thermal freezing process to prevent intermixing between 1st patterned positive resist and 2nd positive resist. Based on solvent solubility switch characteristic of polymer after higher temperature bake, a prototype of polymer consisting of methyladmantane mathacrylate, norbornanecarbo lactone mathacrylate and hydroxyl admantane mathacrylate was selected for resist-on-resist double exposure experiment to prevent the intermixing between layers. Photo sensitivity shifting of this prototype resist after post develop bake further facilitates the design by preventing 1st layer resist distortion from 2nd exposure. Lastly, through composition and formulation optimization, 35nm L/S patterns were successfully demonstrated by using a 1.2NA stepper.
Sub-40-nm half-pitch double patterning with resist freezing process
Masafumi Hori, Tomoki Nagai, Atsushi Nakamura, et al.
Double patterning based on existing ArF lithography technology is one of the most promising candidates for sub-40nm half-pitch devices. Several variation of double patterning processes have been reported by research groups, including a dual-trench process (litho-etch-litho-etch) and a dual-line process (litho-litho-etch). Between these, the dual-line process is attracting the most attention because it is a simple process that achieves high throughput. However, there is concern that the second lithographic process damages the first litho patterns in the dual-line process. Therefore, new technology must be developed to keep the configuration of first litho patterns during the second lithographic step for this patterning process to be practical. Recently, we have succeeded in forming sub-40nm half-pitch litho patterns by the introduction of a new "freezing" step to this process. This step involves covering the first litho pattern with chemical freezing materials to prevent damage by the second litho pattern creating a dual-line process composed of litho-"freezing"-litho-etch processes. In this paper, the details of dual-line process including a "freezing" step are explained and sub-40nm half-pitch litho patterns by this process are shown.
Rigorous physical modeling of a materials-based frequency doubling lithography process
Double patterning (DP) lithography appears to be a likely patterning technology for 32 nm node manufacturing. Litho-Litho-Etch DP may offer lower cost-of-ownership than Litho-Etch-Litho-Etch DP methods, but uses novel materials and processes that have not been fully characterized. In this work, one LLE approach (negative resist processed over a positive resist image) is studied; the results are used to develop a rigorous physical model that describes the process behavior. Experimental observations suggest that processing during the second lithographic pass influences the resist features produced by the first pass. A prototype simulator has been developed which can model the behavior of the first resist through the entire double patterning process. The model can also predict the response of the second lithographic pass by accounting for the optical and physical-chemical interactions with the first pass features. After validating the simulation predictions with experimental results, a modeling study investigates the interactions between the two passes as a function of alignment error. The study shows that for materials with similar refractive indices (&Dgr;n ⩽ 0.1, &Dgr;k ≈ 0) optical effects are small and interactions between the passes are dominated by the boundary conditions, even if the materials are inert to each other.
Molecular Resists
icon_mobile_dropdown
Novel molecular resist based on an amorphous truxene derivative
Shigeki Hattori, Satoshi Saito, Koji Asakawa, et al.
A novel molecular resist based on a new amorphous molecule, a truxene derivative, was designed and synthesized. Truxene is characterized as an amorphous solid with a high glass transition temperature (Tg). 70 nm line-and-space (1 : 1) positive pattern was fabricated by the exposure of a low-energy electron beam (5 keV) using the new molecular resist. The new molecular design of resists based on the truxene derivative is promising with regard to development of more efficient molecular resists.
Single molecule chemically amplified resists based on ionic and non-ionic PAGs
An ionic and non-ionic single molecule chemically amplified resist have been synthesized, characterized, and imaged under high resolution e-beam. The ionic single molecule resist is based on a tBoc protected triarylsulfonium (TAS) core that generates a free superacid upon exposure. TAS demonstrates a low LER (3&sgr;) of 3.9 nm under 100 keV e-beam exposures with a resolution of 55 nm. Under EUV exposures, it exhibits a LER of 5.2 nm with a sensitivity of 60 mJ/cm2 and 50 nm half-pitch resolution. The non-ionic single molecule resist is based on a sulfonic acid containing molecular glass core that uses a norbornene dicarboximide PAG. It images with a low LER of 3.9 nm and a resolution of 40 nm. The non-ionic resist shows multiple improvements over the ionic system including improved resolution, reduced dark loss, and improved solubility. Both resists successfully demonstrate the feasibility of using a single component molecular resist system with high PAG loading. They also show that single molecule resists provide improved LER compared to conventional PAG blended systems, even for unoptimized systems.
Surface roughness of molecular resist for EUV lithography
Surface roughness of molecular and polymer resists were probed with an atomic force microscope (AFM) and analyzed using the power spectrum density (PSD) function. The PSD curve obtained from AFM image of the molecular resist showed a broad profile dependent on the exposure dose and small roughness. The PSD increased more in the low spatial frequency range after the exposure and the correlation length was increased. Meanwhile, the PSD of the polymer resist showed a narrow profile with respect to the dose and large roughness. Overall increase in PSD with respect to the spatial frequency was observed after the exposure.
Chemically amplified fullerene resists for e-beam lithography
J. Manyam, F. P. Gibbons, S. Diegoli, et al.
The minimum lithographic feature size for microelectronic fabrication continues to shrink, and resist properties are beginning to dominate the achievable resolution. There is a strong need for a high resolution, high sensitivity resist for future nodes that is not met by conventional polymeric resists at this time. Molecular resists, such as fullerene derivatives have the potential for lower LWR and improved resolution, but have typically been insufficiently sensitive to irradiation. Here we present a fullerene based three component chemically amplified resist system with high resolution and sensitivity, and wide process latitude. The sensitivity of this resist is between 5 and 10 μC/cm2 at 20 keV for various combinations of post application bake and post exposure bake conditions. Using 30 keV electron beam exposure, sparse patterns with 12 nm resolution were demonstrated, at a line dose of 300 pC/cm, whilst dense patterns with half-pitch 20 nm were achieved at 200 pC/cm. The LWR for the densely patterned resist (measured at 20 nm half pitch) is ~ 4.4 nm, whilst for the 12 nm sparse feature the measured LWR is ~ 2.5 nm. The etch durability is comparable with commercial novolac resists
Chemically amplified molecular resist based on fullerene derivative for nanolithography
We developed a chemically amplified molecular resist based on a fullerene derivative and evaluated the lithographic performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives as a positive-type EB resist with high resolution and high sensitivity properties. The etching rate of fullerene derivative is almost similar to that of ZEP and UVIII. Also, the fullerene derivative resist containing 6 wt% acid generator shows a sensitivity of 33 &mgr;C/cm2 when it was exposed to 75 keV electron beam and postbaked at 170 °C. Although it required a dose of 800 &mgr;C/cm2, a fullerene derivative film yielded line resolution of better than 30 nm. Moreover, the effect of the types of acid generators to the resist performance of fullerene derivatives was investigated. It is very important for a fullerene derivative resist to select appropriate acid generator and process conditions. Fullerene derivative resists are a promising candidate for nanolithography.
New architectures for high resolution patterning
Anuja De Silva, Nelson Felix, Drew Forman, et al.
The ability of the semiconductor industry to reduce device dimensions below 45 nm is hindered by limitations in both resist material and processing techniques. High resolution and sensitivity along with low line edge roughness are key requirements of next generation resist materials. In order to meet future demands of the semiconductor industry, new resist design strategies are being considered. In the past few years, we have focused on developing small molecule resists capable of high resolution patterning. Despite their small size, these molecules known as molecular glasses can be designed to demonstrate high glass transition temperature (Tg) comparable to polymeric resists. Several ring and branched architectures with high Tg values that have attained feature resolution as small as 30nm through Extreme Ultraviolet (EUV) exposure will be discussed. In addition to potential performance advantages, the small size of these resist molecules also allows solvent free processing techniques to be utilized. Our efforts on physical vapor deposition and supercritical CO2 development of molecular glass resists will also be highlighted. Furthermore, we are also investigating hybrid resist materials by combining short polymeric arms with various molecular glass cores. These innovative architectures are being explored at 193nm wavelength through fundamental structure - property analysis.
Simulation of Resist Processes
icon_mobile_dropdown
Base quencher effects in chemically amplified resist at sub-30-nm fabrication
The trade-off between line edge roughness (LER), sensitivity and resolution is the most challenging issue associated with the development of resist processes for extreme ultraviolet (EUV) lithography. It has been reported that quenchers (base compounds) affect the sensitivity, the resolution and the extent of LER. However, the details are still unclear. In this study, the effects of quencher diffusion constants in 22 nm pattern formation were investigated using a simulation based on the reaction mechanism of chemically amplified EUV resists. For the suppression of line width expansion due to acid diffusion, mobile quenchers are effective. The quality of latent image is also improved with the increase of diffusion constant. However, the high mobility of quenchers increases the pattern dependence of line width. The same order of diffusion constants for acids and quenchers are preferable at sub-30 nm fabrication.
Mesoscale simulation of molecular glass photoresists: effect of PAG loading and acid diffusion coefficient
A mesoscale model of molecular resists has been created and implemented that allows for the investigation of the effect of material composition and physiochemical properties, such as PAG loading and photoacid diffusion coefficient, on the lithographic performance (i.e. resolution, line edge roughness, and sensitivity or as commonly referred to "RLS") of molecular glass photoresists. This model is shown to produce results that are in good agreement with many of the conventional LER scaling arguments. In cases where critical dimension is not held constant, it was found that higher photoacid diffusion improves LER at low acid concentrations, but induces higher LER at high acid concentration as compared to smaller diffusion coefficients. Increased PAG loadings were found to provide comparatively lower LER at the same resolution and sensitivity as lower PAG loadings, or alternatively to provide better sensitivity at the same resolution and LER as lower PAG loadings. Even at ultra-high PAG loadings, CARs were found to exhibit RLS limitations. By normalizing all PAG loadings by the total amount of acid produced, it is shown that LER is controlled primarily by photoacid concentration in the resist at the imaging dose for the case where constant critical dimension is maintained with no use of base quencher in the resist. Thus, the most direct and functional scaling argument for LER under such cases is, which is similar to the more common scaling arguments that state, but as this work shows it is more universal to state that which automatically normalizes for different PAG loadings and photoreaction rate constants across different resist formulations.
A comprehensive resist model for the prediction of line-edge roughness material and process dependencies in optical lithography
The reduction of semiconductor device dimensions necessitates, amongst others, a reduction of the line-edge roughness (LER) of the lithographically patterned device components. Experimentally, the impact of many process and material parameters on resist LER has been demonstrated. The impact of some parameters on LER has also been described quantitatively. This paper presents a mesoscopic (i.e., discrete and stochastic) modeling approach including all exposure, post-exposure bake (PEB), and development related parameters and their impact on LER. This allows a prediction of the resulting resist profiles including average dimensions as well as LER. The mesoscopic models are applied for simulating the impact of aerial image contrast, acid diffusion length, and quencher base concentration on LER. The results are compared to experimental data. After this validation of the models, they are applied for LER optimization. The optimum combination of acid and base diffusion length is identified for resist formulations with various levels of base concentration. While the impact of acid diffusion length is already known in principle, it is shown in this paper for the first time how the optimum acid diffusion length depends crucially on base di®usion length and initial base concentration of the resist.
Monte Carlo simulation on line edge roughness after development in chemically amplified resist of post-optical lithography
It is well-known that line edge roughness (LER) of patterned features in chemically amplified (CA) resists is formed in the acid generation stage and expected to be moderated by the acid diffusion and development process. To provide an insight into the limit of LER is essential for the realization of next-generation lithographies such as electron beam or extreme ultraviolet. Based on the results of Monte Carlo simulation which reproduces dynamics of chemical intermediates in positive-tone CA resist, we discuss the possibility of low LER (high frequency) after development. It is found that low LER is achievable; however, the process condition is still strict.
Extraction and identification of resist modeling parameters for EUV lithography
The objective of this work is to understand, from a simulation perspective, how current EUV resist chemistries compare to mature 193nm (ArF) photoresist systems. Accurate resist models for EUV resists may be developed using the same in-house calibration methodology used for ArF resists. Using this methodology, key resist properties, such as optical density, dissolution behavior, and imaging characteristics, are correlated to model parameters that have a significant impact on resist imaging performance. Such resist models, once calibrated, are used to make predictions of key lithographic metrics, such as MEF and process latitude. In this work, model calibration results for ArF and EUV resist systems are compared and the resulting resist models are used to contrast fundamental resist behavior at the ArF and EUV wavelengths.
ARCs and Multilayer Materials and Processes
icon_mobile_dropdown
Etching spin-on trilayer masks
David J. Abdallah, Shinji Miyazaki, Aritaka Hishida, et al.
Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.
Graded spin-on organic bottom antireflective coating for high NA lithography
Immersion lithography for the 32nm node and beyond requires advanced methods to control 193 nm radiation reflected at the resist/BARC interface, due to the high incident angles that are verified under high numerical aperture (NA) imaging conditions. Swing curve effects are exacerbated in the high NA regime, especially when highly reflective substrates are used, and lead to critical dimension (CD) control problems. BARC reflectivity control is also particularly critical when underlying surface topography is present in buried layers due to potential reflective notching problems. In this work, a graded spin-on organic BARC was developed to enable appropriate reflectivity control under those conditions. The graded BARC consists of two optically distinct polymers that are completely miscible in the casting solution. Upon film coating and post-apply baking, the two polymers vertically phase-separate to form an optically graded layer. Different characterization techniques have been applied to the study of the distribution of graded BARC components to reveal the internal and surface composition of the optically graded film, which includes Variable Angle Spectroscopic Ellipsometry (VASE) and Secondary Ion Mass Spectroscopy (SIMS). Also, optical constant optimization, substrate compatibility, patterning defectivity and etch feasibility for graded BARC layers are described. Superior 193 nm lithographic performance and reflectivity control of graded BARC beyond 1.20 NA compared to conventional BARCs is also demonstrated.
A high-Si content middle layer for ArF trilayer patterning
Joseph Kennedy, Songyuan Xie, Ronald Katsanes, et al.
This work discusses the development and characterization of Honeywell's middle layer material, UVAS, for trilayer patterning. The UVAS polymer contains high Si content constructed by polymerizing multiple monomers selected to produce a film that meets the requirements as a middle layer for trilayer patterning. Results of ArF photoresist patterning evaluations, plasma and wet etch studies, and photoresist and full stack rework tests will be presented and discussed. ArF photoresist patterning tests show that UVAS exhibits organic BARC like performance with respect to MEEF (Mask Error Enhancement Factor), DOF (Depth of Focus) and EL (Exposure Latitude). Shelf life data shows that UVAS maintains very stable properties even after 6 months storage at room temperature. We will also briefly discuss investigation of amine or nitrogen-based contaminant blocking by the UVAS middle layer.
Anti-reflective coating for multipatterning lithography
New bottom anti-reflective coatings (BARCs) have been developed that can be incorporated into multiple patterning schemes utilizing scanner-track-only processes. The BARCs have modifiable optical properties and can be removed during the resist development step. Several dual patterning schemes were investigated for trench printing. The most promising process produced 110 nm trenches with approximately 1:1 space ratios. The etch characteristics of these BARCs under fluorinated and oxygenated gases were determined.
A modified bilayer resist approach for 45 nm flash lithography
Brian Osborn, Gloria Quinto, Cristina Cheung, et al.
Advancing technology nodes in semiconductor manufacturing require more demanding lithographic performance for patterning. The advent of 45 nm development necessitated dual damascene lithography moving from a KrF-based bilayer approach to one that includes an ArF photoresist for higher resolution. There are multiple methods for an ArF dual damascene (via first, trench last) system, including bilayer, trilayer and hard mask approaches. Flash manufacturing demands are sensitive to process cost of ownership, so more complex approaches such as trilayer and hard mask film stacks were not as attractive. One method examined as an ArF dual damascene solution was a so-called "modified bilayer" approach, which is a combination of both KrF and ArF resist materials; in particular, this film stack allows for the use of ArF silicon-containing resists along with a variety of anti-reflective and gap fill underlayer materials. The modified bilayer approach afforded many advantages, including chemical compatibility, etch performance and process robustness. The modified bilayer approach represents a culmination of learning that has enabled 45 nm back end of the line (BEOL) dual damascene processing with ArF silicon-containing photoresists.
EUV Resists
icon_mobile_dropdown
A high-throughput contact-hole resolution metric for photoresists: full-process sensitivity study
Christopher N. Anderson, Patrick P. Naulleau
The ability to accurately quantify the intrinsic resolution of chemically amplified photoresists is critical for the optimization of resists for extreme ultraviolet (EUV) lithography. We have recently reported on two resolution metrics that have been shown to extract resolution numbers consistent with direct observation. In this paper we examine the previously reported contact-hole resolution metric and explore the sensitivity of the metric to potential error sources associated with the experimental side of the resolution extraction process. For EUV exposures at the SEMATECH Berkeley microfield exposure tool, we report a full-process error-bar in extracted resolution of 1.75 nm RMS and verify this result experimentally.
Resist development to improve flare issue of EUV lithography
Makiko Irie, Takako Suzuki, Takeyoshi Mimura, et al.
This report will introduce novel resist materials including specific photo acid generator (PAG) to improve flare issue from the resist standpoint. We have developed a method to control the acid diffusion length from the PAG. It previously reported that acid diffusion length can be altered by the PAG anion size. In this report, we focused on the effect of the Tg of a resist film. The thermal flow rate of a resist film can suggest the approximate resist Tg. Therefore, we measured the thermal flow rate of the resist. And we found out passivity of acid diffusion control by changing PAG species and volume. Moreover, newly designed PAG tested was confirmed to have uniform distribution in the resist film with no PAG clustering at the resist surface at compared to our conventional PAG. This new positive tone resist formulation shows good performance under flare condition. In addition, we focused on the pattern density variation as one of the key parameters for flare value. Low pattern density indicated less flare value. It is considered that negative tone resist to have advantage for isolated line type features. Novel positive and negative tone type resists were compared side-by-side and discussed for its advantages at varying pattern densities.
Synthesis and properties of new anionic photoacid generators bound polymer resists for e-beam and EUV lithography
A new series of methacrylate substituted benzene sulfonic photoacid generators (PAGs) and a perfluoro alkanesulfonic PAG, bound polymeric resists based on hydroxystyrene (HS) and 2-ethyl-2-adamantyl methacrylate (EA) were prepared and characterized. The acid yield of these PAG bound polymer resists was among the range of 54-81% under deep ultraviolet exposure (254 nm) that agrees well with the electron withdrawing effect of the substituents on the PAG anion for enhancing acid generation efficiency. The intrinsic lithography performance of these polymer-bound PAG resists showed sub-50 nm half-pitch resolution and < 5 nm LER (3σ).
EUV resist development in Selete
The main development issue regarding EUV resist has been how to concurrently achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the current status of EUV resist development at Selete with a small field exposure tool (SFET). Selete standard resist 2 (SSR2) can simultaneously resolve 26-nm dense and isolated lines with the SFET. Our top data for resolution with annular illumination shows a 25-nm half-pitch. In evaluating resist performance, resist blur should be estimated separately from exposure tool fluctuations. By considering the aberration, flare, and actual illumination shape, resist blur can be estimated more accurately. We estimate the resist blur for SSR2 to be between 9.5 and 10.4 nm as sigma of the Gaussian convolution. We also present benchmarking results for suppliers' samples. Though sensitivity has been improved somewhat in some resists, further improvement is necessary. Further reduction of LWR is especially needed.
Photoresist induced contrast loss and its impact on EUV imaging extendibility
Koen van Ingen Schenau, Steve Hansen, Bill Pierson, et al.
In order to meet the CDU specifications for the 22, 16 and 11nm technology nodes, EUV systems can be designed that provide sufficiently high aerial image contrast. This can be done by higher NA designs and/or by applying off-axis illumination. The contrast loss from the exposure system can be minimized to less than 10-20% by controlling the lens aberrations, flare and vibrations. However, EUV resist model calibration studies revealed that resist induced contrast loss exceeds 50% thus limiting resolution capability. Experiments were performed to assess state-of-the-art photoresist that showed significant improvements in EUV photoresist contrast while improving sensitivity. Finally, a method to experimentally quantify resist contrast loss was proposed.
Resist Fundamentals
icon_mobile_dropdown
Finite element modeling of PAG leaching and water uptake in immersion lithography resist materials
Ben M. Rathsack, Steven Scheer, Yuhei Kuwahara, et al.
The fundamental understanding of photo acid generator (PAG) leaching and water uptake is important for the design of robust immersion imaging processes; including resist, scanner and track hardware design. Experimental studies show that PAG leaching occurs over a very short time scale (< 10 seconds). Time-of-flight secondary ion mass spectrometry (TOF-SIMS) analysis also reveals that PAG leaching occurs at the top surface of a resist film. The time scale and depth of PAG leaching is important to understanding the fundamental impact of immersion process steps on imaging performance. Finite element modeling is used to study the diffusion of water into a resist and the diffusion of PAG out of a resist into flowing water. Experimental mass uptake of water in a 150 nm resist film was collected experimentally using a quartz crystal microbalance (QCM). The diffusion coefficient of water in the thin resist is calculated to be 1.5e-11 cm2/s. PAG leaching data was collected from an experimental apparatus that can flow water over a resist coated 200 mm wafer (dynamic WEXA2). The PAG diffusion model shows that the diffusion coefficient transitions from 1.4 e-14 cm2/s in the surface of the film to 1.0 e-16 cm2/s in the bulk of the film. The finite element simulations show an excellent physical correlation to the experimental PAG leaching data. The extraction of resist component diffusion coefficients enables the modeling of component depth profiles in thin resist materials. The component depth profile information is then used to model the impact of resist design and immersion resist processing on 32 nm node imaging performance.
A new technique for studying photo-acid generator chemistry and physics in polymer films using on-wafer ellipsometry and acid-sensitive dyes
Chemically amplified resists (CARs) are likely to continue to be the main resist materials platform for next generation lithography using shorter wavelength and higher energy radiation sources, such as extreme ultra-violet (EUV) and electron-beam (EB) lithography, to pattern features at and below the 32 nm technology node. As the cost of generating and manipulating high energy radiation in these techniques increases dramatically, photoacid generators (PAGs) with high sensitivities to these exposure sources are required to efficiently utilize such radiation and maintain high lithography tool throughputs. On the other hand, the high energy radiation used in current and next generation lithography tools can increasingly interact non-selectively with the PAG and polymer resin. Photoacid generation from PAG sensitization pathways involving the photoresist resin (e.g. polymer) becomes another potential route for boosting the photospeed of CARs if the PAG and matrix resin chemistry is selected properly. In this work, a fast, convenient, and material saving method which can measure the acid generation rate and yield under photolysis and radiolysis, as well as determine the efficiency of acid generation through direct PAG excitation and indirect PAG sensitization pathways has been developed. This method utilizes on-wafer ellipsometry to determine the absorption of protonated Courmarin 6 (C6) dye, which is incorporated into the polymer resin as a proton indicator. In this work, triphenylsulfonium triflate PAG is used in two different matrix resins, poly(methyl methacrylate) and poly(hydroxystyrene), to illustrate this point that matrix sensitization of the PAG can be important and can be studied using the method developed in this work. This study serves as the starting point for building the structure property relationships needed for intelligent PAG and matrix design to optimize exposure energy utilization in CARs. The validity of this new analytical method is verified by comparing our results in selected PAG-polymer combinations with results obtained from previous studies using different techniques. The potential measurement errors possible when using dye as the proton indicator in a solid-state medium, such as the probability of proton-dye contact and acid generation through dye radiation absorption and sensitization of the PAG, are also analyzed and discussed in this paper.
A comparison of the reaction-diffusion kinetics between model-EUV polymer and molecular-glass photoresists
It has been recently postulated that sub-22 nm photolithography with polymeric photoresists has reached a materials design barrier due to its large molecular mass and distribution. In this argument, the "pixel" size, which is related to the smallest molecular unit, determines the feature fidelity and resolution of the lithographic process. This hypothesis remains unproven, but molecular glass photoresists can provide a test because they can share similar chemical functionality to polymer resists, but with low molecular mass and a monodisperse molecular mass distribution. The low molecular mass leads to the smaller pixel size compared to the radius of gyration of the polymer photoresist. In this work, we compare the deprotection reaction-diffusion kinetics of a common photoacid generator in a polymer and molecular glass resist with similar resist chemistry to elucidate effects of molecular architecture on photoresist performance. We determine the mechanism of reaction, photoacid trapping behavior, and diffusivity by measuring and comparing the reaction kinetics parameters as a function of temperature and exposure dose. These results permit an analysis of the latent image formation which is a crucial factor in resolution and line-edge roughness. Further, knowledge of the reaction-diffusion parameters of each type of resist provides a quantitative approach to predict line-space features, crucial for design for resolution-enhancement features.
Lactones in 193 nm resists: What do they do?
Hiroshi Ito, Hoa D. Truong, Phil J. Brock
Lactones are almost ubiquitously employed in 193 nm resists to increase the polarity of hydrophobic alicyclic polymers. What else do lactones do in 193 nm resists? We studied the behavior of methacrylate (MA) resists consisting of different protecting groups, hexafluoroalcohols, and norbornane lactone methacrylate (NLM, 2-oxo-3-oxatricyclo[4.2.1.04,8]nonan-5-yl methacrylate). When the protecting group is large [ethylcyclooctyl (ECO) and methyladamantyl (MAd)], thinning of the resist film that occurs in highly exposed areas upon postexposure bake (PEB) is significantly smaller than what is expected from the polymer composition. When the concentration of isopropylhexafluoroalcohol methacrylate (iPrHFAMA) is increased in the ECOMA-NLM polymer, the thinning increases and reaches 100% of theory and the ECOMA-norbornenehexafluoroalcohol methacrylate (NBHFAMA) resist loses quantitative thickness in highly-exposed areas upon PEB at 90 °C. This indicates that small lactones which are more basic than esters can trap deprotection fragments especially when the protecting group is large. Such entrapment was detected by IR spectroscopy and also observed at temperatures as high as 200 °C in thermogravimetric analysis (TGA). Incorporation of lactone appears to decrease the bake temperature sensitivity and the sensitivity of the resist perhaps due to trapping of photochemically generated acids by basic lactone. The lactone ring can be hydrolyzed during aqueous base development but does not seem to affect the dissolution rate, indicating that hydrolysis occurs in aqueous base solution after dissolution. Poly(methacrylic acid-NLM) dissolves as fast as poly(methacrylic acid) in 0.26 N tetramethylammonium hydroxide (TMAH) aqueous solution. While exposed P(ECOMA47-NLM53) resist dissolves in 0.26 N developer at about the same rate as authentically prepared poly(methacrylic acid47-NLM53), the dissolution rate of highly-exposed P(MAdMA44-NLM56) resist is much slower, indicating that the deprotection fragment from the former does not interfere with the development but that from the latter does. When the NLM concentration is increased to 75 %, highly exposed P(ECOMA-NLM) resist dissolves slowly at ca. 600 A/sec and swells significantly, indicating that NLM can be a dissolution inhibitor and swelling enhancer when its concentration is high. Low activation energy protecting groups such as ethylcyclooctyl allows imaging at temperatures as low as 60 °C. However, the temperature dependence of the dose to clear is very large and the chemical contrast is quite small in the low temperature range. Thus, for PEB temperature stability and contrast enhancement, baking 20-30 °C above the lowest practical temperature is recommended.
Polymer matrix effects on acid generation
We have measured the acid generation efficiency with EUV exposure of a PAG in different polymer matrixes representing the main classes of resist polymers as well as some previously described fluoropolymers for lithographic applications. The polymer matrix was found to have a significant effect on the acid generation efficiency of the PAG studied. A linear relationship exists between the absorbance of the resist and the acid generation efficiency. A second inverse relationship exists between Dill C and aromatic content of the resist polymer. It was shown that polymer sensitization is important for acid generation with EUV exposure and the Dill C parameter can be increased by up to five times with highly absorbing non-aromatic polymers, such as non-aromatic fluoropolymers, over an ESCAP polymer. The increase in the Dill C value will lead to an up to five fold increase in resist sensitivity. It is our expectation that these insights into the nature of polymer matrix effects on acid generation could lead to increased sensitivity for EUV resists.
Acid-base equilibrium in chemically amplified resist
In chemically amplified resists, amines (base compounds) play important roles such as the control of acid diffusion, the sharpening of image slope and the improvement of environmental resistivity of resist materials. However, the details in the reactions between amines and protons in solid films are still unknown. In this research, we have investigated the neutralization of acids by amines in poly(4-hydroxystyrene) (PHS). Proton dynamics in the presence of amines in PHS films is discussed.
Resist Materials and Processes
icon_mobile_dropdown
Ionic photo-acid generators containing functionalized semifluorinated sulfonates for high-resolution lithography
To meet the challenges for resist materials raised by high resolution lithography technologies, tailor-made photoacid generators (PAGs) with controlled acid diffusion and improved miscibility with polymers are very important. We have developed new ionic PAGs containing functionalized semifluorinated sulfonates. These PAGs have excellent solubility in polymer matrices and common organic solvents, high thermal stability, high acid strength and low volatility of the generated acids, and make them attractive PAGs for high resolution lithography. In this contribution, the preparation and characterization of several new ionic PAGs, the influence of the host matrix on PAG properties, and a comparison of their lithographic performance are presented. Specifically their lithographic performance at EUV wavelength is discussed.
Non-chemically amplified resists for 193 nm lithography
Isao Nishimura, William H. Heath, Kazuya Matsumoto, et al.
Acid diffusion during the post-exposure bake of chemically amplified resists (CARs) is a major contributing factor to line width roughness (LWR) and resolution limits at the 32 nm node and beyond. To overcome these limitations, non-CAR materials are becoming more attractive because acid diffusion is eliminated. We have therefore focused our effort on the synthesis of copolymers that have both a diacyldiazo side chain unit as well as a hexafluoroalcohol unit. This copolymer shows better contrast than that of copolymers containing lactone units due to their inhibition behavior. Furthermore, polymer blends containing hexafluoroalcohol groups show good 100 nm line and space patterning property for 193 nm lithography. This paper describes the design, synthesis, and characterization of these non-CARs, and thier improvement to photolithography.
Post-lithography characterization of ArF resists for 45 nm node implant layers and beyond
Resolution and accuracy needs for the most advanced nodes, as well as fab capacities management are requirements that encourage the use of ArF resists for post-gate implant levels. In this paper, we investigate several key integration criteria that an ArF resist needs to fulfill to be used for implant applications. Outgassing level is followed during the first seconds of the implantation step and is systematically found under the chamber pressure limit. As well, stopping power efficiency is evaluated. SIMS analysis and simulation tests with SRIM software are performed to define the minimum resist thickness that prevents ions to penetrate the layers underneath. Data indicate that both experimental and simulation tests are in good agreement and that at low energies the stopping layer thickness is found to be in the order or lower than 80 nm. Finally, the impact of implantation step on patterned wafers is carried out. Features of interest are dense and isolated lines with a nominal CD of 130 nm. We control the CD and profile with a standard CD SEM and a CD AFM in order to get access to additional information such as height of the feature, top rounding and the CD through height. Results underline that our patterned resist doesn't show significant degradation under our implant conditions.
LWR reduction in low-k1 ArF-immersion lithography
Kentaro Matsunaga, Tomoya Oori, Hirokazu Kato, et al.
Line width roughness (LWR) reduction is a critical issue for low k1 ArF immersion lithography. Various approaches such as materials, exposure technology and the track process have been performed for LWR reduction during lithography process. It was reported that the post-development bake process had good performance for LWR reduction (1). However, the post-development bake process induced large CD change owing to the degradation of large isolated resist pattern. Therefore post-development process with small iso-dense bias is required in low k1 ArF immersion lithography. The resist smoothing process is one of the candidates for LWR reduction with small iso-dense bias. This method whereby the resist pattern surface is partially melted in organic-solvent atmosphere was shown to have a significant LWR reduction effect on resist patterns. This paper reports on the application of the resist smoothing process to the ArF immersion resist pattern after development. It was found that the resist smoothing process was effective to reduce LWR for ArF immersion resist. As a result of LWR trace from after development to after the hard mask etching process, the effect of LWR reduction with the resist smoothing process continued after the hard mask etching process. Furthermore CD change of large isolated patterns with the smoothing process was smaller than in the case of post-development bake process. We confirmed that the resist smoothing process is an effective method for decreasing LWR in ArF immersion lithography.
All-dry photoresist systems: physical vapor deposition of molecular glasses
The aim of the paper is the development of an all-dry photolithographic process in which the film preparation step as well as the development step is performed without the use of solvent. To implement an all-dry photoresist system we focused on coumarin derivatives, as this class can be photodimerized in the solid state and features sufficient high thermal stability. The dimerized product exhibits sufficiently different physical properties. The monomer can be evaporated at elevated temperatures whereas the dimerized product remains non-volatile under these conditions. With a tailored glass forming coumarin derivative we demonstrated the capability to develop clear patterns. A combinatorial approach, i.e. producing a compositional library in combination with the variation of exposure dose was utilized to efficiently optimize the all-dry photoresist system.
Posters: Molecular Resists
icon_mobile_dropdown
Water-developable negative-tone single-molecule resists: high-sensitivity nonchemically amplified resists
A water developable, non-chemically amplified, negative tone single molecule resist (tris(4-hydroxy-3,5-dimethylphenyl)sulfonium tosylate, otherwise referred to as TAS-tBoc-Ts) was synthesized, characterized, and imaged using high resolution electron beam lithography. The solubility switching in the negative tone imaging mode in this material, which occurs for no or low temperature post-exposure bakes, occurs through photolytic conversion of some fraction of the ionic PAG molecules into non-ionic photoproducts which act as strong dissolution inhibitors to the remaining unreacted molecules in the film. It was also shown that this resist system can dmonstrate positive tone chemically amplified imaging behavior under different processing conditions, i.e. when using higher temperature post-exposure bakes above 100 °C . Pure water was found to be the preferential developer as compared to conventional aqueous alkaline TMAH developers in this particular system for negative tone imaging. Under 100 keV e-beam imaging and water development, a sensitivity of 66 &mgr;C/cm2 and contrast of 7.9 was obtained. TAS-tBoc-Ts obtained 40 nm 1:2 line-space resolutions with a low LER of 5.3 nm using electron beam imaging with no apparent swelling or residue problems. This new material and its negative tone imaging process provide a possible path forward for designing non-chemically amplified resists that may obtain higher resolutions than current chemically amplified resists at competitive sensitivities.
Adamantane-based molecular glass resist for 193-nm lithography and beyond
Shinji Tanaka, Nobuaki Matsumoto, Hidetoshi Ohno, et al.
The adamantane-based molecular glass resist were studied its functional capability for lithography process in this work. GR-5 represented adamantane-based molecular glass resist were described as compared with the conventional polymer for 193 nm lithography. Low molecular weight which is one of the features of the molecular glass resist are expected to reduce the line width roughness (LWR) and the line edge roughness (LER). We evaluated the surface roughness (Ra; arithmetic mean departures of roughness profile from the mean line) by using the atomic force microscopy (AFM) instead. GR-5 has actually lower Ra value of 0.345 nm after the exposure and the development process. As the result of the confirmation of the GR-5's performance between the refractive index (n) and transparency (T), although n value stood on over 1.8, T value was less than 30% at 193 nm wave length. It is not likely to solve the higher refractive index and the higher transparency simultaneously. Further the scope of the adamantane-based molecular glass resist to apply for the EUV lithograph was found.
Molecular glass photoresists based on acidolysis of acetal compounds
Liyuan Wang, Xiaoxiao Zhai, Na Xu
Molecular glass resists are low molecular-weight organic photoresist materials that readily form stable amorphous glasses above room temperature. They can lead to high resolution patterns. New families of ester acetal molecular glass materials have been created by the reaction between monocarboxylic acid and divinyl ethers. These organic materials are monodisperse and amorphous. They can be dissolved in common solvents and possess high thermal stability. The ester acetal compounds can be quickly decomposed at the presence of strong acid generated by photoacid generator (PAG) at room temperature or higher temperature and become easily soluble in dilute aqueous base. They can form positive photoresists together with PAG. The lithographic performance of the resist materials is being evaluated.
Molecular glass resists for next generation lithography
The idea of using small molecules instead of polymers for next generation lithography may enable improved resolution and line edge roughness (LER). Rather than using polymeric materials we are focusing on a new class of materials known as molecular glasses (MGs). These are low molecular weight organic materials that demonstrate high glass transition temperatures despite their modest size. Unlike polymeric resists, these molecules have the added advantages of distinct size and uniformity. We have synthesized a series of molecular resists containing rigid aromatic backbones and phenolic moieties suited for electron beam and Extreme Ultraviolet (EUV) lithography as both positive tone and negative tone photoresists. An increase in glass transition temperature is observed with increasing size and rigidity. Glass transition temperatures (Tgs) between 80-130°C have been observed for t- BOC protected positive tone resists with molecular weights within the range of 800-1200g/mol. MGs with branched, dense structures are explored to design high Tg resist systems with improved sensitivity and contrast. The effects of protection ratio, high and low activation protecting groups, post exposure bake conditions, etch resistance and outgassing have been tested using selected phenolic MG resists. These new resist architectures are synthesized and evaluated to attain sub 30nm feature sizes required of candidates for next generation lithography.
The effect of EUV molecular glass architecture on the bulk dispersion of a photo-acid generator
David L. VanderHart, Anuja De Silva, Nelson Felix, et al.
We have examined four molecular glasses (MGs) which are candidates for EUV photoresist formulations. These derivatized glasses, and their unprotected precursors, were investigated by both proton and 13C solid state NMR techniques in the bulk state as pure materials and as mixtures with 5 or 10 % by mass of the photoacid generator (PAG), triphenyl sulfonium perfluorobutanesulfonate. The 13C techniques gave information about crystallinity, purity, and the presence of the PAG. This paper characterizes the intimacy of mixing of the PAG and the MGs using proton spin diffusion methods. Phase separation of the PAG into PAG-rich larger domains was never seen; the PAG was always finely distributed. A maximum diameter for any PAG clustered into spherical domains was estimated to be 3.8 nm, which is too small to reflect thermodynamic incompatibility as the driving force during relatively slow removal of solvent. Hence, PAG blended samples are deduced to be thermodynamically compatible, with differential solubility in the preparation solvent the most likely candidate for producing the significant inhomogeneities in PAG concentration observed in a few samples. For one of the unprotected crystalline calix[4]resorcinarenes precursor materials, the solvent, N-methyl 2-pyrrolidinone (NMP) was used. The resulting solid was crystalline with a segregation of isomers, one of which formed a solid adduct with a 1:1 molecular ratio with NMP. Qualitatively, the strong NMP affinity for the calix[4]resorcinarenes is also evident in a) the immobility of the NMP, b) the fact that the 14N quadrupolar interaction changes when NMP goes from the crystalline, unprotected host to a glassy, protected host, and c) that NMP tends to remain as a significant residue. Only the underivatized materials display crystallinity implying that the mixing of the PAG with any derivatized MG is not restricted by crystallization, at least not before the post-exposure bake step. As a final note, very strong hydrogen bonds exist in three underivatized materials which is reduced or eliminated with partial protection with t-BOC.
Molecular resists for EUV and EB lithography
Extreme ultraviolet lithography at a wavelength of 13.5 nm has been prepared for next generation lithography for several years. Of primary concern in EUV lithography is line edge roughness as well as high sensitivity. In recent years, various types of resist, such as protected PHS resin resist and molecular resist, have been investigated. In order to reduce LER, we have studied novel molecular resists which are promising alternative to polymeric photoresists for use as imaging materials with improved resolution and line edge roughness. The work reported in this paper has focused on the development of a new class of chemically amplified molecular resists that are composed of a single molecule which contains all of the different functionalities desired in a chemically amplified resists. For the purpose of improvement of the resist performance, we have designed the resist material of a protected polyphenol derivative (protected Compound A). PAG moiety is bonded to Compound A to achieve uniform PAG density and to control the acid diffusion length in a resist film. We analyzed uniformity of PAG density in a resist film by using gradient shaving preparation and TOF-SIMS analysis. From the TOF-SIMS spectra, the ions intensities of the PAG moiety are almost constant from the surface to the bottom of the film. Therefore, we can conclude that PAG is distributed homogeneously. Under e-beam exposure, a 100nm thick film of the PAG bonded molecular resist resolved lines down to 100nm. We also discussed the new design for molecular resists, their synthesis and lithographic performance.
Posters: Materials and Processes for Immersion Lithography
icon_mobile_dropdown
Options for high index fluids for third generation 193i lithography
Seth Kruger, Srividya Revuru, Shao-Zhong Zhang, et al.
Successful fluids for use in 3rd generation 193 nm immersion lithography must have refractive indices of ≥ 1.80 at 193 nm, ≤ 0.15/cm absorbance at 193 nm, and be photochemically inert to 193 nm radiation. Various classes of organic compounds were prepared and evaluated for use as 3rd generation 193 nm immersion fluids. Functional groups that were evaluated included: sulfones, sulfoxides, sulfonic acids, ammonium sulfonate salts, alkanes, alkyl chlorides, alkynes, and nitriles. Several compounds were synthesized including three sulfone and three sulfonic acid compounds. Other commercially available compounds of interest underwent extensive purification prior to evaluation. Although this work did not lead to any specific solutions to the challenge of identifying 3rd generation 193 nm immersion fluids, it can be concluded that high density hydrocarbons based on cubane may have the best chance of meeting these goals.
High refractive-index resists composed of anionic photoacid generator (PAG) bound polymers for 193 nm immersion lithography
The objective of to develop high refractive index (HRI) chemical amplified resists (CAR), which are composed of HRI photoacid generator (PAG) bound polymer resists, and incorporate HRI nanoparticles into the polymer matrix. Therefore, this new series of nanocomposite resists should be effective for 193 nm immersion lithography with high RI, to obtain feature sizes down to 32 nm or lower.
Application technology of stacked film with highly controlled edge structure
Katsunori Ichino, Keiji Tanouchi, Tomohiro Iseki, et al.
On the device manufacturing, the film edge control around the wafer edge has been critical at the point of edge control of deposited film. So far, the film edge control is operated by the wafer edge exposure system and/or the edge beam remover. The immersion lithography which is applied to the device generation below 65 nm node requires more additional and severe items for film edge control. These typical requirements are position control of coating film and wafer bevel cleanness. For examples, top coat film is widely applied to the immersion lithography. But this topcoat film is easily peeled off, if top coat film edge should be directly located on the wafer substrate like Si wafer. Thus, the edge position of topcoat film must be controlled very carefully. And the particle or residues on the wafer bevel is thought to be one of the causes to generate immersion defect. Wafer bevel must be clean in order to reduce the immersion defect. Then we have developed novel application technology in order to solve these kinds of immersion defectivities. This new application technology is based on rinse solution technology and new hardware concept. This new application technology can control the edge position of coating film with high accuracy and can reduce the particle and residues. We show the edge position accuracy using our application technology and furthermore, the stability of edge position accuracy in case of multi-layered resist process. We also show the cleanness of the wafer bevel area at the same time. And we can achieve the immersion process with wide process latitude with innovative application technology.
The study of defect control and patterning performance for top coating free resist process
Myoung-Soo Kim, Hun-Rok Jung, Hae-Wook Ryu, et al.
In this study, the top coating (TC) resist and new top coating free (TCF) resist at the condition of immersion patterning process have been evaluated and compared in the respect of leaching rate, process margins and immersion related defects. The 55nm of dense L/S patterns are defined by using immersion process. The leaching rates of TCF resist are investigated for the different conditions of baking temperatures and leaching times. Their measurements have been done for the cation and anion dissociated from PAG under the conditions of unexposed and exposed areas. The cation leaching rate of new TCF resist is 1.4 × E-13 mol/cm2.sec at the unexposed area. It is lower than the spec suggested by ASML. From the leaching data, it is confirmed that the TCF resist can apply for the patterning without top coating material. The process margins of TCF resist are slightly wider than those of TC resist in the respect of depth of focus and energy latitude. And the top loss of TC resist and TCF resist are 20nm and 8nm, respectively after development process. The immersion related defects are also investigated for these resists. The defect map of TCF resist shows much fewer defects than those of TC resist. The immersion related defect of TCF resist is not founded among the patterns and the number of micro-bridge defect is fewer than 5. The defect level of TCF resist is more stabilized by optimizations of chemical structure of resist and process. From the experimental results, it is confirmed that the TCF resist is available to apply for device production of sub-55nm technology. Especially, the application of TCF resist can reduce the process step of top coating and also make the improvement of through-put with cost of ownership in process. Therefore, the TCF resist should be progressively studied and applied for device production in the respect of improvement of device property and process simplification.
Highly hydrophobic materials for ArF immersion lithography
In immersion lithography, the impact of water on resist performance and the possibility of damage to the lens by the components eluted from the resist material are seriously concern. And much work has shown that controlling the water-resist interface is critical to enabling high scan rates. Many topcoat materials have been developed to control the aforementioned interfacial properties. Developable topcoats have been particularly investigated as suitable candidates for its applicability to the resist developing process. Achieving the balance between the low surface energy required for higher receding contact angle and the base solubility for topcoat removal is challenging. We have already reported FUGU polymer which have partially fluorinated monocyclic structure and hexafluoroalcohol(HFA) group and showed that its developer solubility was excellent but hydrophobicity was insufficient for high scan rate. We have also reported that co-polymers of FUGU and highly fluorinated monomers which have perfluorinated cyclic structure had sufficient hydrophobicity but lower developer solubility. We have found that it was difficult to use these copolymers in themselves as topcoat. But by blending of moderate amount of these copolymers into FUGU polymer, we have finally obtained highly hydrophobic developer-soluble topcoat. Hydrophobicity can be controlled by blending ratio. Furthermore we have newly successfully synthesized a series of fluoropolymers, FIT polymer partially fluorinated monocyclic structure and having carboxylic acid group as developer-soluble unit. When FIT polymer as well as FUGU polymer, was blended to highly hydrophobic copolymer, the blended polymer also showed higher hydrophobicity keeping sufficient developer solubility.
Improvements of adhesion and hydrophobicity of wafer bevel in water immersion lithography
In this study, we focus on the controllability of a wafer bevel from adhesion and hydrophobicity viewpoints in order to solve the problems of film peeling and microdroplet formation around wafer bevels, which result in pattern defects. Hexamethyldisilazane (HMDS) treatment is a common solution to these problems. We examine a novel wafer bevel treatment utilizing silane coupling agents (SCAs) for obtaining high adhesion and hydrophobicity. SCAs comprise trimethoxysilanol and organic functional groups. These groups react with inorganic substrates and films just over the surface subjected to a novel chemical treatment (NCT), respectively. Several organic functional groups both with and without fluorine are examined. The hydrophobicity is estimated from the static and receding contact angles of water. The adhesion strength is measured from the stress required for pulling the topcoat film away from the substrate subjected to the NCT. The coating performance of chemicals on the surface by the NCT and the aging stability of the formulated solution of the SCAs are examined for optimizing the composition of the NCT solution. Further, we verify the film peeling behavior and water leakage in wafers having a topcoat, ArF resist, and bottom antireflective coating (BARC) using a quasi-immersion exposure stage.
Process manufacturability evaluation for next generation immersion technology node
In order to prepare for the next generation technology manufacturing, ASML and TEL are investigating the process manufacturability performance of the CLEAN TRACKTM LITHIUS ProTM-i/ TWINSCANTM XT:1900Gi lithocluster at the 45nm node. Previous work from this collaboration showed the feasibility of 45nm processing using the LITHIUSTM i+/TWINSCAN XT:1700i. 1 In this work, process performance with regards to critical dimension uniformity and defectivity are investigated to determine the robustness for manufacturing of the litho cluster. Specifically, at the spinner and PEB plate configuration necessary for the high volume manufacturing requirement of 180 wafers per hour, process data is evaluated to confirm the multi-module flows can achieve the required process performance. Additionally, an improvement in the edge cut strategy necessary to maximize the usable wafer surface without negative impact to defectivity is investigated.
Formation mechanism of 193nm immersion defects and defect reduction strategies
The formation mechanism of various 193nm immersion-related defects is investigated. The experimental results are reviewed and compared to the simulation results, which enables us to form a clear picture of how the immersion defects are generated. Based on the understanding of defects mechanisms, a series of the defect reduction measures is proposed for the 193nm immersion process.
Synthesis of novel alpha-fluoroacrylates and related polymers for immersion lithography
Tsuneo Yamashita, Takuji Ishikawa, Masamichi Morita, et al.
Immersion lithography is being actively developed toward mass production for 55nm node devices and beyond. Advances are being made toward large depths of focus and higher resolution, but the underlying problem of machine and material cost increases remains. Our work over the past few years has shown that the main-chain fluorinated base resins realized by the co-polymerization of tetrafluoroethylene (TFE) and norbornene derivatives offer high dissolution rates and moderate surface properties. However, it is difficult to synthesis these materials and their high cost is disadvantageous. Recently, we switched our attention to &agr;-fluoroacrylate and have synthesized various monomers and polymers for immersion lithography. &agr;-fluoroacrylate has a polymerization rate faster than acrylate and methacrylate, and its polymers are superior to theirs. In this paper, we will report these synthesis methods and immersion specific properties such as the dissolution rate in standard alkaline solution and water contact angle. Furthermore, we consider with relationship between dissolution rate and polymer structure by infrared method.
Characterization of resist and topcoat properties for immersion lithography
For this paper, we have performed a fundamental characterization of various resists and topcoats supplied by different vendors. The resists and topcoats were selected based on the inherent properties of these chemicals (elemental composition, contact angle, etc.). The goal of this study is to better understand the resist and topcoat interaction under various process conditions. We have characterized these materials using a number of analytical techniques such as atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). We have also provided data on the effect of the constitution of the resist and topcoat materials on the resist profile, under both dry and immersion exposure.
Posters: Materials and Processes for Double Patterning/Double Exposure
icon_mobile_dropdown
A lithographic and process assessment of photoresist stabilization for double-patterning using 172-nm photoresist curing
Nikolaos Bekiaris, Hiram Cervera, Junyan Dai, et al.
We have developed a unique resist stabilization process for double patterning that uses 172 nm UV curing to 'freeze' a first photoresist pattern prior to application and patterning of a second photoresist film. 172 nm cure offers many potential advantages over other resist stabilization processes, including improved pattern fidelity vs. other cure processes and track-based implementation scenarios that are relatively simple, compact, and inexpensive. Assessment of 172 nm double imaging process requirements and limitations indicates that pattern distortions in the 'frozen' first photoresist may arise during all 2nd patterning steps, including coating, exposure, and development. Careful optimization to maximize overall pattern fidelity is needed. Process optimization using a conventional 193 nm photoresist suggests that pattern freeze approaches based on resist cure are best suited to extremely regular structures due to line-end and other resist distortions. Nevertheless, the method allows cross-grid contact printing at lithographic k1 = 0.385.
Ion implantation as insoluble treatment for resist stacking process
Hiroko Nakamura, Takeshi Shibata, Katsumi Rikimaru, et al.
With regard to the resist stacking process, it was proposed that the implantation of ions whose acceleration voltage was below 50 kV could make the lower-layer resist insoluble for the upper-layer resist patterning process. But the lower-layer resist pattern was observed to be peeled off in a pattern. In another type of the pattern whose lower-layer pattern was fastened by the upper-layer pattern, there were caves in the bottom of the lower-layer resist pattern. From the calculation of the projected range of the ions, it was found that the ions cannot reach the bottom of the lower-layer resist pattern, so that the bottom of the lower-layer resist was not hardened. The peeling-off was due to the dissolution of the bottom in the lower-layer resist during the development of the upper-layer resist pattern. When the acceleration voltage of the implanted ions is set so that the projected range of the ions is larger than the resist thickness, the lower-layer resist can be made effectively insoluble for the upper-layer resist patterning process. The ion implanted pattern can be used as the etching mask. Moreover, the ions can be prevented from penetrating the film to be etched by adjusting the acceleration voltage.
Double patterning study with inverse lithography
Pattern reduction has created a great deal of interest in finding effective methods to reduce the feature sizes of microelectronic and data-storage devices. These methods are divided between top-down approach such as photolithography and bottom-up approach such as self-assembly. For below 32 nm node technology, top-down approach has obstacles such as diffraction-limited resolution and high cost of ownership. Bottom-up approach has obstacles such as the insufficient support of processes and mass production. As one of solutions, double patterning technology (DPT) has been researched. In this paper, DPT is analytically shown more dense patterns then single or double exposures. For the reduction of the DPT complexity, a mask design method, which is the inverse lithography technology (ILT) based on pixels and the lithography model, is described as an integrated computational lithography platform to handle the DPT. The ILT can use the decomposition of design and optical proximity correction for below 32 nm half pitch pattern generation. A simple example is performed for its verification.
Posters: Resist Fundamentals
icon_mobile_dropdown
Photons, electrons, and acid yields in EUV photoresists: a progress report
Robert Brainard, Elsayed Hassanein, Juntao Li, et al.
This paper describes our initial investigation into building a greater understanding of the complex mechanism occurring during extreme ultraviolet (EUV) exposure of resist materials. In particular, we are focusing on the number and energy of photoelectrons generated and available for reaction with photoacid generators (PAGs). We propose that this approach will best enable the industry to develop resists capable of meeting resolution, line width roughness (LWR), and sensitivity requirements.
Ellipsometry studies of the kinetic of deprotection of thin 193 nm positive tone resist film
H. Ridaoui, S. Derrough, C. Sourd, et al.
A new methodology that allows monitoring the deprotection kinetic of UV sensitive thin resist films was recently developed at LTM. This tool measures by ellipsometry changes in optical properties of thin resist films heated at a PEB temperature and exposed to UV. This article presents results of the deprotection kinetic of model resist supplied by Rohm and Haas. Films thicknesses range from 58nm to 150nm. Two thermal protocols were used to check an impact of the film thickness on the deprotection kinetic. The first one is a thermal ramp were temperature increases at a given rate. Prior to this ramp the sample was exposed to UV for 60s with the help of a broadband Xe lamp. This protocol allows detecting the temperature that initiates the deprotection reaction into the film. The second test consists in heating the samples at a fixed temperature for a given time and to expose to UV the film at this temperature. This studies supplies information on the deprotection kinetic occurring in the film at this temperature. The work we did on 193 nm resist films clearly shows that this technique can monitor both the thermal initiation of the deprotection and the real time measurement of the compaction kinetic of the film during the PEB. The initial film thickness impact on the deprotection kinetic is presented in this paper to check whether lithography processes should be adapted to the film thickness.
Process-induced bias: a study of resist design and process implications
Critical dimension uniformity (CDU) has both across field and across wafer components. CD error generated by across wafer etching non-uniformity and other process variations can have a significant impact on CDU. To correct these across wafer variations, compensation by exposure dose and/or PEB temperature, have been proposed. These compensation strategies often focus on a specific structure without evaluating how process compensation impacts the CDU of all structures to be printed in a given design. In a previous study, the authors evaluated the relative merits of across wafer dose and PEB temperature compensation on the process induced CD bias and CDU. For the process studied, both metrics demonstrated that using PEB temperature to control across wafer CD variation was preferable to using dose compensation. The previous study was limited to a single resist and variations to track and scanner processing were kept to a minimum. Further examination of additional resist materials has indicated that significant variation in dose and PEB temperature induced CD biases exist from material to material. It is the goal of this work to understand how resist design, as well as track and scanner processing, impact process induced bias (PIB). This is accomplished by analyzing full resist models for a range of resists that exhibit different dose and PEB temperature PIB behavior. From these models, the primary resist design contributors to PIB are isolated. A sensitivity analysis of the primary resist design as well as track and scanner processing effects will also be simulated and presented.
ArF photoresist formulation influence on elliptical contact shape when using a dipole illumination
E. Tenaglia, J. Ronsmans, D. De Simone, et al.
Strong off-axis illumination at contact hole level is used to improve the process latitude and the imaging contrast. As consequence the circularity of the contact can be affected and its shape can become elliptical. Aim of this work is to investigate the impact of film thickness and resist formulation on the lithographic performances of contact holes when printed by a dipole illumination. Using a commercially available ArF photoresist as a baseline, after the process window, the main focus was on the circularity of the contact hole, which is defined as the CD-ratio between Y- and X-directions as measured by top-down CD-SEM. We observed that a different resist thickness can modify the circularity of the contact hole. Besides, when using a resist formulation with changed absorbing photo acid generator (PAG) we observed a similar effect. We looked further into the impact of the anion size of the PAG and a PAG which combines both different absorbances with different anion size. We continued this study with an investigation of the effects of base quencher loading level, polymer type and solvent.
Dynamics of poly(4-hydroxystyrene) radical cation
Poly(4-hydroxystyrene) (PHS) has been used in KrF excimer laser (248 nm) lithography as a backbone polymer, and is also a promising material for EUV or electron beam lithography. Analysis of the intermediate species is important for the control of reactions in resist materials. Since the size of integrated circuits fabricated for mass production will decrease below 30 nm and the size error must also be decreased to the molecular level, the elucidation of proton dynamics at the molecular level is also important for reducing the deviation of the resist pattern size. In this study, the dynamics of PHS radical cations were studied, because PHS radical cation is main source of proton. The transient absorption of PHS was observed in the near-infrared region (NIR) in p-dioxane solutions by pulse radiolysis. The intramolecular PHS dimer radical cation (M2+.) were observed, whereas p-cresol shows no distinct CR band. Although the radical cations of phenol derivatives are known to be easily deprotonated, it was found that M2+. formation prevents deprotonation by its charge resonance stabilization.
Dependence of acid generation efficiency on acid molecular structure and concentration of acid generator in chemically amplified EUV resist
The trade-off between resolution, sensitivity, and line edge roughness (LER) is the most serious problem for the development of sub-30 nm resists based on chemical amplification. Because of this trade-off, the increase in acid generation efficiency is essentially required for high resolution patterning with high sensitivity and low LER. Under such circumstances, the absorption coefficient and the acid generation efficiency are elemental key factors for the design of chemically amplified extreme ultraviolet (EUV) resist because the acid distribution in resist films is primarily determined by these two factors. In this study, we investigated the dependence of acid generation efficiency on the molecular structure and concentration of acid generators in chemically amplified EUV resists. The acid generation efficiency (the number of acid molecules generated by a single EUV photon) was obtained within the acid generator concentration range of 2-30 wt % for several kinds of ionic and nonionic acid generators.
Characterization of the latent image to developed image in model EUV photoresists
Current extreme ultraviolet (EUV) photoresist materials do not yet meet performance requirements on exposure-dose sensitivity, line-width roughness, and resolution. In order to quantify how these trade-offs are related to the materials properties of the resist and processing conditions, advanced measurements and fundamental studies are required that consider EUV-resist specific problems. In this paper, we focus on the correlations between the latent image and developed image in EUV exposed line/space features. The latent images of isolated lines produced by EUV lithography are characterized by atomic force microscopy through the change in topology caused by change in film thickness that occurs upon deprotection. The resulting latent-image deprotection gradient (DGL), based on line cross-sections, and latent-image line-width roughness (LWRL) provide metrics and insight into ways to optimize the lithographic process. The results from a model poly(hydroxystyrene-co-tert-butylacrylate) resist and a model calix[4]resorcinarene molecular glass type resist show the general applicability of the metric before development.
Study of de-protection reaction analysis system for EUV lithography
Against the backdrop of remarkable strides in recent EUVL research, EUV chemically amplified resists were discussed as a critical issue in last year's International EUVL Symposium. Important concerns involving resists include improvements in resolution and sensitivity and reductions in outgassing and roughness. One important factor in improving resolution and sensitivity is understanding the behavior of the de-protection reaction of a photoresist during EUV exposures. We examined a system to analyze de-protection reactions in an ultra-thin-film process suitable for the EUV resist process.
RAFT technology for the production of advanced photoresist polymers
Michael T. Sheehan, William B. Farnham, Hiroshi Okazaki, et al.
Reversible Addition Fragmentation Chain Transfer (RAFT) technology has been developed for use in producing high yield low polydispersity (PD) polymers for many applications. RAFT technology is being used to produce low PD polymers and to allow control of the polymer architecture. A variety of polymers are being synthesized for use in advanced photoresists using this technique. By varying the RAFT reagent used we can modulate the system reactivity of the RAFT reagent and optimize it for use in acrylate or methacrylate monomer systems (193 and 193i photoresist polymers) or for use in styrenic monomer systems (248 nm photoresist polymers) to achieve PD as low as 1.05. RAFT polymerization technology also allows us to produce block copolymers using a wide variety of monomers. These block copolymers have been shown to be useful in self assembly polymer applications to produce unique and very small feature sizes. The mutual compatibilities of all the components within a single layer 193 photoresist are very important in order to achieve low LWR and low defect count. The advent of immersion imaging demands an additional element of protection at the solid/liquid interface. We have used RAFT technology to produce block copolymers comprising a random "resist" block with composition and size based on conventional dry photoresist materials, and a "low surface energy" block for use in 193i lithography. The relative block lengths and compositions may be varied to tune solution behavior, surface energy, contact angles, and solubility in developer. The use of this technique will be explored to produce polymers used in hydrophobic single layer resists as well as additives compatible with the main photoresist polymer.
Effect of PAG and matrix structure on PAG acid generation behavior under UV and high-energy radiation exposure
Chemically amplified resists (CAR) have successfully facilitated the development of deep ultra-violet (DUV) and 193 nm lithography techniques for more than two decades due to their acid-catalyzed deprotection scheme that enhances their photospeed. This acid-catalyzed mechanism provides a method for amplifying the initial chemical reactions caused by interaction of radiation with the resist film, thus making each interaction event between radiation and resist more productive. However, when switching from low energy photolysis to high energy radiolysis, changes in the manner in which the radiation interacts with the resist material can alter the acid generation efficiency and mechanism of PAG excitation. In high energy radiation cases where the radiation energy exceeds the ionization potential of the PAG and the polymer resin, the radiation absorption in the resist film becomes non-selective. The ratio of PAG excited by direct excitation as compared to polymer or matrix sensitization pathways can shift heavily in favor of matrix sensitization in such high energy exposure cases. Such sensitization pathways may become a potential method for enhancing resist sensitivity under high energy radiation through careful selection of matrix and PAG materials. A better understanding and study the efficiency of acid generation through direct and indirect PAG excitation pathways and the effect of PAG and matrix structure on these pathways would be extremely valuable for the design of future high sensitivity resist materials. In this work, the acid generation of typical ionic (onium salt) and non-ionic PAGs under DUV (248 nm) and electron-beam exposure in polymer film have been studied. The effect of PAG type and structure on its acid generation under photolysis and radiolysis has been determined. The effect of polymer resin structure on PAG photoacid generation under photolysis and radiolysis has also been investigated. Concepts for PAG and polymer design for producing enhanced sensitivity resists for excitational and ionizational exposure is discussed.
Posters: ARCs and Multilayer Material and Processes
icon_mobile_dropdown
Plasma etch properties of organic BARCs
Runhui Huang, Michael Weigand
Plasma etching is an integral part of semiconductor integrated circuit (IC) processing and is widely used to produce high-resolution patterns and to remove sacrificial layers. Bottom anti-reflective coatings (BARCs) under the resist absorb light to minimize reflectivity during lithography and are typically opened during pattern transfer using plasma etching. High etch selectivity is required in the BARC opening process to minimize resist loss to allow further substrate etching. Because the plasma etch process combines physical bombardment and chemical reaction, the factors affecting etch rate and selectivity are complex. The results are related to etch conditions and the chemical nature of polymer. This paper addresses plasma etch properties as they relate to polymer type and etch gas composition. Polyacrylate, polyester, and polymers containing nitrogen and halogens have been investigated. The research was carried out by a series of designs of experiments (DOEs), which varied the flow rate of Ar, CF4, and O2 in plasma gas. The selectivity of BARC to resist depends not only on the carbon content but also on the different ways polymer compositions and structures respond to an oxidizing gas, a reducing gas, and plasma bombardment. Based on a polymer decomposition mechanism, we discuss what could happen physically and chemically during the polymer's exposure to the high-energy reactive plasmas. We also modified the Ohnishi parameter for the polymers containing nitrogen and halogen using our polymer decomposition theory. The contribution of nitrogen and halogen in the etch equation can be positive or negative depending on the chemical properties of the plasma.
The design and evaluation of high barrier performance organic BARC material
Tomohisa Ishida, Rikimaru Sakamoto, Yoshiomi Hiroi, et al.
The pattern shrinkage of semiconductor devices has been achieved by moving to shorter and shorter wavelengths in the optical lithography technologies. According to the ITRS, it is estimated that this trend will be continued through advanced lithography techniques such as Hyper NA immersion lithography, double patterning technique and EUV lithography. In the future, photo-resist film thickness requirements will approach 100 nm or less to achieve suitable aspect ratios. Therefore, organic bottom anti-reflective coating (BARC) film thicknesses must also be reduced from the viewpoint of the etching process. Due to these design changes, the performance of BARCs, especially photo-resist profile control and maintaining enough of a lithography process margin at the critical CD has become more crucial. Problem of photo-resist profiles, such as missing holes or scumming for contact holes (C/H) and footing in line-space (L/S) patterns by contamination from the substrate are known as resist poisoning. In order to prevent this issue, BARC films need to have not only reflection control properties but they also need to capable of contamination or poison blocking. Therefore, barrier properties to prevent contamination or poisoning should be included in the design of these new BARC materials. For developing these BARC that are designed to have both barrier properties and reflection control at around 30 nm thickness, we investigated their performance by evaluating both the chemical and physical property of BARC film. The design of these barrier films and details of evaluation experiments are discussed in this paper.
Development of high-performance tri-layer material
D. C. Owe-Yang, Toshiharu Yano, Takafumi Ueda, et al.
As chip size and pattern size continue to shrink, the thickness of photo resist is getting thinner and thinner. One of the major reasons is to prevent the small resist features from collapse. It's very challenging to get enough etch resistance from such thin resist thickness. An approach of Si-tri-layer stack which consists of resist, Si ARC (Si contenting anti-reflection coating), organic underlayer from top to bottom has been adopted by many IC makers in the manufacturing of 45 nm node. Even higher resist etching selectivity is needed for 32 nm node. Si ARC, of Si content as high as 43%, provides good etch selectivity. At the same time, tri-layer also provides good control over reflectivity in high NA immersion lithography. However, there are several well know issues concern Si-rich ARC. Resist compatibility and shelf life are on top of the list. An aim of our development work was to overcome those issues in order to produce manufacturing-worthy Si-rich ARC. Several synthesis methods were investigated to form Si-rich ARC film with different properties. Collapse of resist patterns is used as an indicator of lithographic compatibility. Lithographic performance was checked by accelerated shelf life tests at high temperature in order to predict the shelf life at room temperature. It was found that adhesion between resist and Si-rich ARC is improved when contact angle of Si-rich ARC is increased to more than 60 degree. Certain synthesis methods improve shelf life. After optimization of film properties and synthesis methods of Si-rich ARC, SHB-A940 series have best litho compatibility and shelf life is six months at storage temperature below 10°C.
High-etch-rate low-bias bow outgassing BARC via-filling materials for 193-nm ArF lithographic process
Huirong Yao, Zhong Xiang, Salem Mullen, et al.
As critical dimensions in integrated circuit (IC) device fabrication continue to shrink to less than 90 nm, designing multi-functional organic bottom anti-reflective coating (BARC) materials has become a challenge. In this paper, we report novel high performance BARC materials which are simultaneously capable of controlling reflectivity, planarizing on substrate surface, low bias filling without forming voids, low outgassing, high etch selectivity with resists and broad compatibility with resists. The new materials comprise of a chromophore that absorbs at 193 nm to give anti-reflective properties. By intriguing design of the crosslinking system to minimize the amount of low molecular weight additives and the by-product formation in the curing process, low-bias and low sublimation filling without formation of voids are achieved. In addition, the performance of the high etch rate BARC material can be further enhanced by blending with a low k high etch rate (~2.4X) material to achieve ultra high etch rate for ArF lithographic process. The filling properties, etch selectivity, lithographic and outgassing data of the new BARC materials will be presented.
Advanced ultraviolet cross-link process and materials for global planarization
Satoshi Takei, Yusuke Horiguchi, Tomoya Ohashi, et al.
The use of a conventional thermal cross-link materials such as negative resists, anti-reflective coating (BARC), and planarizing layers does not lead to excellent planarization for multilevel interconnects, and specially via arrays prior to trench patterning for an advance lithography. The large thicknesses bias between the blanket areas and interconnect areas, and between the blanket areas and via arrays are usually observed. This large thickness bias creates problems during next lithography by narrowing the process latitude. Recently, chemical mechanical polishing (CMP) technology has been proposed to achieve global planarization. However, the CMP planarization technique is very sensitive to pattern density, and chemical etching reaction had high possibility to increase the dielectric constant. The current CMP technique still requires a new investment in the CMP equipment. In this paper, we reported another novel approach for global planarization using UV cross-link material (XUVTM) and the dielectric ultra violet exposure unit in coater equipment (TOKYO ELECTRON LTD CLEAN TRACKTM). This planar technique provides benefits for reducing the thickness bias observed in the 22-65 nm generation lithography and imprint processes. Using this technique, a remarkable reduction in via topography with 1.1 μm as a depth and 0.9-1.0 μm as a diameter has been achieved excellent thickness bias less than 20 nm. And, the planarization of the film obtained from the XUVTM was very high as compared with that of the film obtained from thermal cross-link gap fill material as the reference, particularly under severe coating conditions such as dense patterns.
Gap-fill type HSQ/ZEP520A bilayer resist process–(I): HSQ-coated ZEP520A CD shrinkage for 32-nm trench patterns
Wei-Su Chen, Ming-Jer Kao, Ming-Jinn Tsai
The CD shrinkage by thermal reflow technique was frequently used for the formation of contact hole (C/H) of fine size. However, such technique is seldom used for line (trench)/space type patterns with complicate layout, like 6T-SRAM pattern. One of the reasons is the shape distortion of the designed layout after thermal reflow which results in the difficulty in CD control. In this study ultra-thin hydrogen silsesquioxane (HSQ)-coated ZEP520A trenchs are used to investigate the CD shrinkage effect and integrity of the shape after thermal reflow. 6T-SRAM transistor gate with various width/length (W/L) ratios is used as the test pattern. HSQ diluted by methyl-isobutyl ketone (MIBK) with 1:3 and 1:14 volume ratios was coated on ZEP520A trenchs. Post-applied baking (PAB) conditions of non-HSQ coated ZEP520A are split to find the optimal CD uniformity. The effects of thickness of HSQ, dilution ratio, spin speed of HSQ on the CD and shape after thermal reflow at various temperatures for HSQ-coated ZEP520A trench patterns are also studied. Multiple thermal reflows at 160oC-180oC are applied to obtain the best shrinkage results. It is found that the non-HSQ coated ZEP520A trench with all W/L ratios shrunk at 160oC. The smallest shrunk trench of 33.6 nm top-CD with 1/50 W/L ratio is obtained for HSQ (1:14)-coated ZEP520A for two thermal reflows at 160 oC/90 sec while 35.8 nm top-CD of the same W/L ratio is obtained after one 180oC/90sec reflow. Both sizes could meet the CD requirement of 32nm node and beyond. Above all, the HSQ-coated ZEP520A keeps the shape of trench pattern after one or multiple thermal reflows.
Challenges of non-PFOS top antireflective coating material
Shu-Hao Hsu, Inge Vermeir, Matthias Scholze, et al.
In this paper, we will describe the principle and the design concerns with and without PFOS of TARC materials, and then make a comparison of TARC and organic bottom anti-reflective coating (BARC) which are both anti-reflective coating materials. In our discussions, we will sort the TARC applications, and then discuss the behavior of post-exposure bake (PEB) delay with and without TARC process. After that, we will discuss the material issues which we are faced of Non-PFOS TARCs, such as material being frozen during shipping process, coating performance etc. Then, we will demonstrate the Litho performances and defect results of actual Non-PFOS TARC materials. Finally, we will summarize the evaluation results of Non-PFOS TARC materials.
KrF bilayer resist defects: cause, analysis, and reduction
Brian Osborn, Gloria Quinto, Zhanping Zhang, et al.
Dual damascene processing for back end of the line (BEOL) layers can employ bilayer film stack approaches for lithographic patterning. These bilayer resist systems are more prevalent for KrF layers and have many unique characteristics, including silicon-containing photoresists and gap fill underlayer material that must also act as a bottom anti-reflective coating (BARC). Bilayer resists pattern for copper deposition; as such, defect levels are a critical concern, as any post-patterning bridging or residue defects can often times render an entire die inoperable due to electrical shorts or breaks. Here, two such defect types were found: missing resist patterns and resist residue. Through several experiments and with process optimization, the defect origins were elucidated and the defects themselves significantly reduced. This work will detail the examination, root causes and eventual elimination of these significant bilayer resist defects.
Sub-45nm resist process using stacked-mask process
Yuriko Seino, Katsutoshi Kobayashi, Koutaro Sho, et al.
The stacked-mask process (S-MAP) is a tri-level resist process by lithography and dry etching, which consists of thin resist, spin-on-glass (SOG), and spun-on carbon (SOC). However, as design rules progress below 60nm, two problems arise in the conventional S-MAP: 1) the deformation of SOC line pattern during SiO2 reactive ion etching (RIE), 2) the degradation of lithography performance due to high reflectivity at the interface between resist and SOG in high NA. In this study, we clarified the origin of the above problems and improved S-MAP materials and processes. Firstly, we found that the pattern deformation is induced by the inner stress due to volume expansion by fluorination during RIE, and that the deformation is suppressed by decreasing hydrogen content of SOC. Secondly, we developed new carbon-containing SOG that coexists with low reflectivity and acceptable etching performance. Using the above SOG and SOC, we developed a new S-MAP that shows an excellent lithography / etching performance in sub-45nm device fabrication.
High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-damascene lithography process
The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.
Silicon-based anti-reflective spin-on hardmask materials for 45 nm pattern of immersion ArF lithography
Sang Kyun Kim, Hyeon Mo Cho, Sang Ran Koh, et al.
In current semiconductor manufacturing processes, hardmasks have become more prevalent in patterning of small features. A silicon-containing hardmask, which can be spun onto wafers, is desirable in terms of mass production throughput and cost of ownership. Previously, we reported a paper on silicon-based anti-reflective spin-on hardmask materials for 193 nm lithography. In this paper, hardmask materials for 45 nm pattern of immersion ArF lithography are described. To achieve 45 nm patterning, a different base resin platform from the previous paper has been used. Furthermore, we have improved the etch resistance by changing our synthesis method without modifying the resin platform and silicon contents. Despite these changes, an excellent storage stability, which is one of the essential requirements for the materials, is still maintained. Characterization and lithographic performance of 45 nm immersion ArF lithography using our new materials are described in detail.
Optimization of BARC process for hyper-NA immersion lithography
The extension of current 193nm immersion lithography technology is depending on increasing the numerical aperture (NA). High-resolution imaging requires the decrease of photoresist thickness to compensate for smaller depth of focus (DOF) and prevent pattern collapse. Poor etch selectivity between photoresist and BARC reads to the use of thinner BARC with faster etch-rate. Also, controlling reflectance over a wider range of incident angles for hyper-NA above 1.0 gives more challenge for thin BARC. To reduce substrate reflectivity, various material strategies (dual-layer BARC such as organic/inorganic BARC or organic/organic BARC, Si-based ARC/spin-on carbon (SOC), and so on) have been introduced through many papers. Organic dual-layer BARC is capable of suppressing reflectivity through wide range of incident angles. But, the inevitable increase of its thickness is not a desirable direction due to the decreasing trend of photoresist thickness. When amorphous carbon (a-C) is used as a hardmask for sub-stack, the combination of organic/inorganic BARC (i.e. SiON) is currently well known process. Si-ARC/SOC may be the promising candidates of hardmask because Si component of Si-ARC affords a high etch selectivity to photoresist and its combination with SOC decreases reflectance. The optical constants of above organic materials can be tuned to control the substrate reflectivity for hyper-NA.
Development of new BARC for immersion process using hyper NA
Hyo Jung Roh, Man Ho Han, Sang Jeoung Kim, et al.
Most semiconductor companies are using Bottom Anti-Reflective Coating (BARC) on their lithography process to reduce bottom reflectivity, which is cause of standing wave, pattern collapse, and bad pattern profile, and to improve lithographic performance. BARC has been diversified to adapt to the wavelength of exposure light and refractive indices of photoresists and substrates. Recently, many semiconductor companies introduce new process, such as immersion process and double patterning process, to get high resolution for next generation semiconductor and they are trying to apply these processes to their mass production. Among those process solutions, a strong candidate for high resolution is introduction of hyper NA(Numerical Aperture) exposure tool, using immersion process. There is one thing to solve for BARC material when immersion process is applied. It is reflectivity. As NA of exposure tool increases, reflectivity from a substrate also increases, simultaneously. We simulated the difference of reflectivity with increasing NA and we found a proper way how to control reflectivity on immersion process with refractive indices of BARC. We will report simulation data for immersion process and introduce our new developed BARC for hyper NA process in this paper.
Novel spin-on organic hardmask with high plasma etch resistance
Chang-Il Oh, Jin-Kuk Lee, Min-Soo Kim, et al.
In recent years for memory devices under 70nm using ArF lithography, spin-on organic hardmask has become an attractive alternative process to amorphous carbon layer hardmark (ACL) in mass production due to ACL hardmask's limited capacity, high cost-of-ownership, and low process efficiency in spite of its excellent etch performance. However, insufficient plasma etch resistance of spin-on hardmask makes the etch process an issue resulting in inadequate vertical profiles, large CD bias, and narrow etch process window compared to ACL hardmask. In order to be able to apply these spin on hardmasks to varies layers including critical layers, the aforementioned problems need to be resolved and verified using several evaluation methods including etch pattern evaluation. In this paper, we report the synthesis of novel organic spin-on hardmasks (C-SOH) that incorporate various fused aromatic moieties into polymer chain and the evaluation of etch performance using dry etch tools. Organic spin-on hardmasks with 79-90 wt% carbon contents were synthesized in-house. Oxygen and fluorine based plasma etch processes were used to evaluate the etch resistance of the C-SOH. The results show our 3rd generation C-SOH has etch profiles comparable to that of ACL in a 1:1 dense pattern.
Dual-layer dye-filled developer-soluble BARCs for 193-nm lithography
Jim D. Meador, Carol Beaman, Charlyn Stroud, et al.
A family of dye-filled developer-soluble bottom anti-reflective coatings (BARCs) has been developed for use in 193-nm microlithography. This new dye-filled chemical platform easily provides products covering a wide range of optical properties. The light-sensitive and positive-working BARCs use a transparent polymeric binder and a polymeric dye in a thermally crosslinking formulation, with the cured products then being photochemically decrosslinked prior to development. The cured BARC films are imaged and removed with developer in the same steps as the covering photoresist. Two dye-filled BARCs with differing optical properties were developed via a series of DOEs and then used as a dual-layer BARC stack. Lithography with this BARC stack, using a 193-nm resist, gave 150-nm L/S (1:1). A 193-nm dual-layer BARC stack (gradient optical properties) from the well-established dye-attached family of light-sensitive BARCs also gave 150-nm L/S (1:1) with the same resist. However, the latter provided much improved line shape with no scumming. The targeted application for light-sensitive dual-layer BARCs is high-numerical aperture (NA) immersion lithography where a single-layer BARC will not afford the requisite reflection control.
Low out-gassing organic spin-on hardmask
Shinya Minegishi, Nakaatsu Yoshimura, Mitsuo Sato, et al.
Beyond 45nm node processes, ArF hyper-NA immersion lithography systems are an inevitable choice for obtaining smaller patterns. A hyper-NA, dual BARC system is proposed to achieve low reflectivity. However, the ability for the resist to ask as a mask is severely challenged because of the increased film thickness associated with a dual BARC system. In order to obtain enough etch selectivity to the substrate, multi-layer resist processes can be applied. General multi-layer resist processes uses silicon containing an inorganic spin-on hard mask and an organic spin-on hard mask with a high carbon content. One of the problems of organic spin-on hard masks is high out-gassing, which can cause defect issues in mass production. We have developed a new organic hard mask with low out-gassing, good reflectivity control (< 0.2%) and good etch durability. Gap-filling performance also can be controlled by changing its fluidity and wettability on the substrate.
Gap-fill type HSQ/ZEP520A bilayer resist process–(II): HSQ island and spacer formation
Wei-Su Chen, Pei-Yi Gu, Ming-Jer Kao, et al.
Hydrogen silsesquioxane (HSQ) bilayer resist (BLR) processes are attractive to obtain nano-sized features with high aspect ratio by dry-transferring thin e-beam pattern to thick underlayer to strengthen the etch resistance. However, there are drawbacks of high e-beam dosage for HSQ patterning and difficulty in controlling the underlayer resist profile by O2 plasma with anisotropic etching. In this study gap-fill type HSQ/ZEP520A BLR processes were studied to overcome these problems. The advantage of gap-fill type BLR processes is that the dosage for patterning on thick ZEP520A e-beam positive resist is not as high as that for HSQ and the resist profile can be tuned by exposure and development processes without depending on O2 plasma. By gap-filling of HSQ in ZEP520A trench patterns and then stripping ZEP520A by O2 plasma the tone is conversed from trench to line. The gap filling quality attributes include (1) the void size and number of HSQ lines and (2) spacer adhesion on HSQ line edge. Only the non-diluted HSQ solution could completely fill the trench and the HSQ line formed after stripping of ZEP520A. The spacer formed by diluted HSQ is found to be composed of oxide without any ZEP520A-related elements by FTIR analysis. The ZEP520A trench CD monotonically increases with decrease of W/L ratio. The HSQ line CD also follows the same trend. The extension of HSQ in ZEP520A, i.e. HSQ line CD minus ZEP520A trench CD, basically follows the reverse trend. It is therefore concluded that extension of HSQ lines in ZEP520A and HSQ spacers are formed from the diffused HSQ in trench sidewall without any reaction with ZEP520A. Voids were generally observed at the bottom of the HSQ line. Size and quantity of voids are larger for lower W/L ratios, indicating that the voids were formed due to insufficient HSQ volume for gap-filling. Increasing e-beam dose, baking or reflow temperature, and reflow of ZEP520A before HSQ coating could reduce the void formation. Multiple gap-filling with 1:14 diluted HSQ can lead to void-free lines. The HSQ spacer becomes thicker with less diluted HSQ, slower spin speed, reduced ZEP520A development time and HSQ PCB temperature. The smallest HSQ island of 46.3 nm was obtained by two reflows plus HSQ gap filling and baking processes, a significant size for the hardmask of metal island etching or mold of contact-hole nano-imprint for 45 nm node. The width of HSQ spacers is generally within 10-25 nm, potentially applicable to transistor gate patterning in 22 nm node and beyond.
Reflection control for immersion lithography at 45/32-nm nodes
Wan-Ju Tseng, Ruei-Hung Hsu, Shu Huei Hou, et al.
A new dual bottom antireflectant consisting of an organic antireflectant and a SixOyNz:H (SiON) layer has been designed for metal layers to cover both 45nm and 32nm node logic devices. Simulations have been used to optimize the optical constants of the organic antireflectant. The new antireflectant system has been evaluated on a 1.2NA tool for metal layers. The same organic antireflectant has been successfully applied to via layers at a different thickness. The overall patterning performance including profiles, line width roughness (LWR), overlap depth of focus margin (ODOF) and critical dimension (CD) uniformity before and after etch has been evaluated. The new antireflectant system meets all the patterning requirements for a manufacturable process. An immersion tool at 1.2NA was used to perform lithography tests. Simulation was performed by using ProlithTM software.
Second-generation radiation sensitive 193-nm developable bottom antireflective coatings (DBARC): recent results
Francis Houlihan, Alberto Dioses, Lin Zhang, et al.
We will discuss our recent results using a second generation radiation sensitive developable 193 Bottom Antireflective coatings (DBARCs). These DBARC materials are made solvent resistant the application of a resist coating on top of them through a crosslinking mechanism that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. Typically this is done by crosslinking a copolymer containing a hydroxyl moiety with a polyfunctional vinylether during post applied bake. This DBARC approach, after exposure, allows for development of the stack in exposed areas down to the substrate eschewing the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked. We will give an update on the performance our latest 193 nm DBARC materials used with different Implant 193 nm resists when using a phase shift mask with off axis illumination.
Effects of bake temperature and surface modifications on hardmask materials for trilayer applications
Charles J. Neef, Jim Finazzo, Cheryl Nesbit, et al.
A comparison of bake temperature effects on two hardmask materials was performed. The first hardmask was a silicon-based material, BSI.M06092K, and the second was a titanium-based material, BSI.S07051. The materials have inherently different chemistries that performed differently as bake temperature was varied. BSI.M06092K undergoes condensation of silanols on the wafer during baking and BSI.S07051 undergoes removal of the ligand followed by condensation during baking. In general, the performance of BSI.M06092K showed little or no dependency on bake temperature. BSI.S07051 showed an increase in contact angle with water, slower etch rates, and square profiles as bake temperature increased.
Posters: Simulation of Resist Processes
icon_mobile_dropdown
A calibrated photoresist model for pattern prediction
Yung Long Hung, Chun Cheng Liao, Chiang-Lin Shih, et al.
As design rules shrink, the goal for model-based OPC/RET schemes is to minimize the discrepancy between the intended pattern and the printed pattern, particularly among 2d structures. Errors in the OPC design often result from insufficient model calibration across the parameter space of the imaging system and the focus-exposure process window. Full-chip simulations can enable early detection of hotspots caused by OPC/RET errors, but often these OPC model simulations have calibration limitations that result in undetected critical hotspots which limit the process window and yield. Also, as manufacturing processes are improved to drive yield enhancement, and are transferred to new facilities, the lithography tools and processes may differ from the original process used for OPC/RET model calibration conditions, potentially creating new types of hotspots in the patterned layer. In this work, we examine the predictive performance of rigorous physics-based 193 nm resist models in terms of portability and extrapolative accuracy. To test portability, the performance of a physical model calibrated using 1d data from a development facility will be quantified using 1d and 2d hotspot data generated at a different manufacturing facility with a production attenuated-PSM lithography process at k1 < 0.4. To test extrapolative accuracy, a similar test will be conducted using data generated at the manufacturing facility with illumination conditions which differ significantly from the original calibration conditions. Simulations of post-OPC process windows will be used to demonstrate application of calibrated physics-based resist models in hotspot characterization and mitigation.
Posters: Resist Materials and Processes
icon_mobile_dropdown
Fabrication of 32-nm contact/via hole by photolithographic-friendly method
As semiconductor design rules continue to shrink, studies have begun on the 32nm-node and 22nm-node generations in semiconductor lithography technology in conjunction with the development of various fine-processing technologies. Research has been especially active in the development of high-NA193nm immersion lithography and EUV lithography for 32nm processes and beyond, but at the present stage of development, many technical issues have been reported. For example, in the contact-hole and via-hole pattern formation process in 193nm immersion lithography, it is difficult to maintain good resolution performance and process margins compared to line and space patterns. Poor resolution and other defects in the lithography process are major factors behind reduced yields in semiconductor production lines, and to prevent such defects, studies have begun on double patterning technology and shrink technology applied after resist-hole-pattern formation. Here, however, the need for reducing production processes and production costs have become major issues. In response to these technical issues, we evaluated a variety of hole-shrink processes as candidates for a fine-hole-pattern formation technology, and as a result of this study, we succeeded in applying an original hole-shrink technology to the formation of 40nm hole patterns and beyond.
Evaluation of adamantane derivatives for chemically amplified resist: a comparison between ArF, EUV, and EB exposures
Kikuo Furukawa, Shu Seki, Takahiro Kozawa, et al.
Photoresists containing adamantane derivatives have been widely used with ArF exposure tools. However, the performance characteristics of adamantane derivatives with other optical sources, such as extreme ultraviolet (EUV) and electron beam (EB) sources, have not been well-studied. To clarify such performance characteristics for several exposure sources, we synthesized acrylic terpolymers containing adamantyl methacrylates as model photopolymers and exposed the resist samples based on these polymers to ArF, EUV and EB radiations. On the basis of the lithographic performance characteristics of these resist samples, we evaluated the performance characteristics of adamantane derivatives upon exposure to different radiations. We discuss the relationship between the chemical structures of adamantane derivatives and lithographic performance characteristics.
Leading-edge adamantyl polymers designed for 193 nm lithography
Kazuya Fukushima, Shinji Tanaka, Nobuaki Matsumoto, et al.
There are some practical candidates for next generation lithography (NGL). However, many subjects are left behind and there is still no effective technique. One of those subjects is reduction of line edge roughness (LER)/line width roughness (LWR). As compared with 2-methyl-2-adamantyl methacrylate which is mostly used in 193nm lithography as acid cleavable monomer, it became clear that our new monomers were effective in reduction of LER/LWR by measuring surface roughness (Ra) with the analysis of atomic force microscopy (AFM). We found out that the monomers which have acetal as acid cleavable unit has high exposure sensitivity and effective in the reduction of Ra. Moreover, we tried to synthesize high refractive index polymer by introducing a sulfur atom that is another subject of NGL. By synthesizing some monomers, it became clear that the bond type of sulfur atom affects a refractive index greatly. It was also checked that a refractive index and transparency have a relation of a trade-off. The adamantyl acrylate monomers are mostly used in 193 nm lithography due to their high transparency and excellent contrast after the development. We have designed and synthesized various monomers based on adamantane moieties from 65nm node forward the 32 nm node. So, we think that it's our duty to challenge from the design of a monomer to those subjects for NGL.
Wet trimming process for critical dimension reduction
Sam X. Sun, Brian A. Smith, Anwei Qin
Plasma trimming is a method widely used to achieve small feature sizes beyond the capability of photolithography. Plasma processes reduce the dimensions of photoresist, anti-reflective coating, hardmask, or device substrate patterns with varying degrees of anisotropy. The vertical trim rate is higher than or equal to the lateral trim rate. As a result, much of the line-edge roughness from the resist pattern remains. High aspect-ratio resist patterns are subject to necking and collapse during this process. However, by using a developer-soluble hardmask in place of traditional anti-reflective layers, it is possible to achieve controllable, anisotropic trim rates, as well as reduced roughness. Moreover, the process benefits from a very thin resist, or imaging layer, instead of relying on a thicker mask with a high aspect-ratio. The hardmask is patterned during a standard resist develop step, and the resist may be stripped prior to substrate etching due to the high etch resistance of the hardmask. Many other advantages have been discovered from this wet trimming process, including high resolution, extended depth of focus, controllable trim rate, and lower cost than traditional methods.
Impact of HBr and Ar cure plasma treatments on 193nm photoresists
Arnaud Bazin, Erwine Pargon, Xavier Mellhaoui, et al.
Since they have been introduced to substitute poly(hydroxystyrene) based 248nm photoresists (PR), 193nm photoresists based on acrylate chemistry have raised issues regarding their dry etch resistance. These resists undergo severe degradations during typical dry etch processes involved in gate patterning, resulting in strong film loss, resist chemical modifications, critical surface roughening and also linewidth roughness (LWR). Other studies have shown that applying plasma treatments to 193nm photoresist patterns prior to the other plasma etching processes is a way to minimize PR degradation. Among these plasma treatments, the HBr plasma cure is known to reinforce the 193nm photoresist etch resistance and to reduce the resist LWR. In this study, we propose to go further in the understanding of cure plasma treatments impact on a 193nm model resist polymer (from Rohm & Haas Electronic Materials) using real time in-situ ellipsometry experiments correlated to several characterization techniques such as in-situ X-Ray Photoelectrons Spectroscopy (XPS), Fourier Transformed Infrared Spectroscopy (FTIR) and Dynamic Mechanical Analysis (DMA). The impact of Ar and HBr cure plasma treatments on 193nm PR is investigated and compared. Both treatments lead to surface and also bulk modifications of the resist films. XPS analyses show that the 10 first nanometers of the resist film are graphitized after only 20s plasma treatment, resulting in a higher carbon content and therefore indicating a better etch resistance following the Ohnishi parameter. Besides this superficial modification, FTIR show that the resist film can be completely modified after HBr cure plasma treatment with the removal of lactone and leaving groups present in the polymer. The same kinds of modifications are observed with Ar cure plasma treatment but only the first 80nm of the resist film are chemically modified. A significant decrease of the glass transition temperature is also observed with both treatments and is related to lactone and leaving group units that remain in the film Finally, we show that the resist etch resistance is indeed improved if the resist is previously cured. However, in the case of Ar plasma treatment, the etch resistance is only improved while etching the first 80nm chemically modified resist.
Molecular contamination control technologies for high volume production in high NA 193-nm lithography (phase II)
Toshiro Nakano, Takashi Tanahashi, Akihiro Imai, et al.
The current semiconductor resist process requires a clean atmosphere for 193-nm immersion exposure. Processing involving such small dimensions and short wavelengths requires an atmosphere with extremely low concentrations of various amines, as well as ammonia. This clean space is secured by using an ion-exchange type chemical filter. In addition, a variety of organic contaminants cannot be ignored. For example, in the exposure process, siloxane and other low molecular weight compounds are transformed into high molecular weight compounds by short-wavelength light, and cause lens fogging. To deal with these organic compounds, an activated carbon filter has been used. This paper establishes an optimized design theory for an ion exchange filter based on the molecular diameters of targeted amines by examining the adsorption of various types of amines by ion-exchange resin. We verified the adsorption behavior of a chemical filter by considering the actual environment around the wafer, and established a clean environment by using adsorption theory for various types of contaminants.
Driving contact hole resolution to 45nm using novel process enhancement techniques
W. Montgomery, S. Bennett, L. Huli, et al.
Without question, one of the most difficult layers to print with a usable process window is the contact level. As the industry moves towards the 45nm node and beyond, the challenges associated with printing contact holes with a manufacturable process window have become increasingly difficult. The authors have taken a two-pronged approach that combines chemical and plasma etch methods in order to shrink contact holes and thus obtain higher resolution. Process windows were looked at using both methods individually, and then with both techniques combined. There are several resolution-enhancing chemical shrink materials on the market. In this discussion of contact resolution enhancement, the authors chose JSR CSX004. A relatively new etch approach, focused on reducing contact hole size, will be demonstrated. The etch process utilizes a SiARC ARC, which acts as a hardmask in order to create an enhanced contact hole. In this paper, the authors will describe an approach that will illustrate a methodology that can be used to produce 45nm node contact resolution in a manufacturing environment. Lithographic process windows, side-wall angles (via SEM cross section) and etch selectivity will be studied in detail.
Resist reflow process for arbitrary 32 nm node pattern
In order to shrink down the contact hole which is usually much larger than other patterns, the resist reflow process (RRP) has been widely used. Various types, shapes, and pitches of contact hole arrays are made by RRP, but RRP was limited to be used only for contact hole patterns. The same RRP method is expanded to 32 nm node arbitrary and complex patterns including dense line and space patterns. There might be simple 1-dimensional patterns, but 2-dimensional proximity conflict patterns are difficult to make in general. Specially, the data split with proximity correction needs a lot of attention for double patterning. 32 nm node arbitrary patterns can be easily made by using RRP without complex data split.
Processing and modeling optimization for grayscale lithography
Grayscale lithography is an extension of the conventional binary lithographic process for realization of arbitrary three-dimensional features in photoresist materials, with applications especially in micro-optics fabrication. The grayscale photomask possesses a spatially varying transmission that modulates the exposure dose received in the photoresist. By using a low contrast photoresist, such as those based on diazonaphthoquinone (DNQ), the material is only partially removed during development in proportion to the local exposure dose received. In this way, an arbitrary surface topography can be sculpted in the photoresist material. It is common practice in grayscale lithography to encode the transmission levels of the photomask by using the photoresist contrast curve to determine the exposure dose required for a given photoresist thickness at each lateral point in the pattern. This technique is adequate when the surface topography is slowly varying and the photoresist film is thin. However, it is inaccurate when these conditions are not met, because the technique essentially represents a one-dimensional approximation to the lithographic process where the isotropy of the development and the diffractive imaging of the photomask are neglected. Currently we are applying grayscale lithography to the fabrication of a fiber-to-waveguide coupler based on the parabolic reflector, where the efficiency of the device is quite sensitive to fabrication errors in the coupler geometry. In this case the thin photoresist and slowly varying topography conditions are not met, and we turn to more comprehensive process models to determine the appropriate transmission levels to encode in the photomask. We demonstrate that the photomask can be optimized, based on simulation of the lithography process, to produce the required three-dimensional photoresist pattern.
Advanced resist process enabling implementation of CD controllability for 32 nm and beyond
Exposure wavelength has been changing dramatically as semiconductor design rules shrink, and for 32nm-node fine processes and beyond, it is predicted that the drop in optical contrast when using 193nm immersion lithography exposure technology will make it difficult to ensure good resolution performance in fine and dense resist patterns. To address this problem, studies have begun on extreme ultraviolet (EUV) lithography technology and double patterning technology that uses 193nm immersion lithography as alternative technologies, but many problems have been reported at the present stage of development. Against the above background, we investigated various process flows with the aim of reducing production processes and cost in double patterning technology that uses 193nm immersion lithography. We consequently developed an advanced process technique for use after 1st resist pattern formation and established a litho-litho-etch (LLE) process. The application of this technology decreases the number of total processes used in ordinary double patterning technology. In this paper, we focus on double patterning technology in 193nm immersion lithography and report on the performance of our original advanced process technique and on our evaluation of double patterning technology.
Development of thick negative photoresists for electroplating applications
Chunwei Chen, Robert Plass, Edward Ng, et al.
We report about the development of a thick negative photoresist series, AZ(R) EXP 125nXT, and their use in electroplating levels up to 160 μm thickness. The new photoresist series enables coatings of 5-120 μm with acceptable uniformity and edge bead in a single coat step. 200 μm photoresist coating was achieved by a double coating processes. The lithographic performance of the photoresists was evaluated using broad band aligners and steppers. Optimized lithographic parameters to achieve straight and nearly vertical side wall profiles are reported. The photoresists show not only excellent adhesion to copper with no surface treatment and electroplating tolerance in a variety of metal plating solutions, but is also compatible with silicon and gold substrates. The photoresists have been found to be easily stripped with no residues in solvent based stripper solutions.
Synthesis and evaluation of novel resist monomers and copolymers for ArF lithography: Part II
Osamu Nakayama, Takashi Fukumoto, Miki Tachibana, et al.
We synthesized several new monomers with an acid-cleavable protective group and investigated their deprotection reactions. Polymers were prepared using these monomers, and their thermal properties and dissolution rates were investigated. The acidic reactivity of protective groups of these monomers was evaluated using a method we developed. The activation energies [Ea(experimental)] calculated using reaction rate constants were found to correlate to some extent with the activation energies [Ea(calculated)] calculated from MOPAC. The dissolution rates of some polymers containing similar protective groups as structures were measured. The dissolution rates were related to the polarity and molecular volume (MV) of the decomposed products of protective groups.
Line width roughness (LWR) performance of novel surface conditioner solutions for immersion lithography
Bo Jou Lu, E. T. Liu, Anson Zeng, et al.
As line width roughness (LWR) and depth of focus (DoF) become the critical lithography challenges, there is a growing interest in applying surface conditioner solutions during post-develops process to increase DoF and reduce LWR. Previous work1 has demonstrated that a significant LWR reduction and DoF increase can be achieved through the utilization of a surface conditioner in the features of lines/spaces patterned for 45nm node by immersion lithography. However, the previous generation surface conditioner is not able to provide effective LWR improvement for the resist pattern having LWR less than 5nm. In this paper, 45nm lines/spaces features, having 4.8nm LWR, were patterned using immersion lithography to evaluate a newly-formulated surface conditioner's performance on LWR reduction. The results showed there is about 20% LWR reduction and the LWR was reduced to 4nm, which indicates the newly-formulated surface conditioner is capable of doing further LWR reduction on the pattern whose LWR is less than 5nm. In addition, surface conditioners were applied to extend the capability of 193nm "dry" lithography process window below the k2 = 0.3 threshold by DoF increase. The result demonstrated there is a significant process improvement on DoF which results in a usable DoF process window in practice comparable to that of "wet" lithography process.
Effect of nanofiltration on photochemical integrity
H. Zhang, A. Wu, J. Wei, et al.
In its relatively short history of use, the formulation complexity of bottom anti-reflective coatings (BARC) for semiconductor fabrication has become an enabling technology for nanoscale chip geometries. As lithography advancements are mastered, process material and equipment capabilities must follow. Typical photochemical solutions contain a variety of additives, often in trace amounts. The slightest unintended alteration of the photochemical formulation's chemical composition could have a detrimental effect on lithography process yield. The added complexity of BARC formulations leads suppliers of liquid microcontamination control technologies to develop filtration solutions that effectively remove the destructive particles and gels from BARC while maintaining the formulation's integrity during the filtration process. In a joint study, Entegris and Brewer Science discovered that nylon has proven to be less chemically resistant to attack by certain BARC materials than next-generation ultrahigh molecular weight polyethylene (UPE) membrane materials. Essentially, UPE membrane-based filters remove the damaging particulate and gels without altering the delicate chemical formulation of BARC materials. It has also been experimentally proven that the UPE-based membrane maintains its material integrity during the filters' lifetime. This provides new opportunities for fabs and photochemical suppliers to enhance the performance, repeatability and reliability of semiconductor lithography processes.
Performance comparison of negative resists for copper rerouting and other electroplating applications
Medhat Toukhy, Chunwei Chen, Margareta Paunescu, et al.
Two types of chemically amplified (CA) negative resists were compared lithographically. An acid catalyzed resist and a photopolymerizable type resist. The optimum lithographic performance of the acid catalyzed resist on Cu is in the thickness range below 15μm, with vertical profiles. This resist exhibits inverted profiles on Cu above 15μm of thickness. The Photopolymer type resist performs best above 25μm thickness, and can be used for 120μm thick applications with single coat. Top line rounding is more observed with this resist as its applied thickness is reduced below 20μm. This effect is believed to be related to oxygen uptake in the resist surface. Thus it has a more pronounced effect at relatively thinner films. Both resists are compatible with the electroplating process.
Post develop stain defect reduction
Masahiko Harumoto, Takuya Kuroda, Minoru Sugiyama, et al.
This study reports on stain defect reduction on KrF, ArF and Immersion resist system. Stain defects that appear after develop are a common problem with i-line, KrF, ArF and ArF-immersion resists. Last year we reported a reduction of this type of defect by optimizing the developer process. However, that optimized process used a long rinse time, and this negatively impacts throughput. In this work, we designed a novel develop process that reduced stain defects on the resist. Previous work showed that stain defect formation was mainly governed by the develop process conditions. Hence, in this work we focused on develop system improvements. On this system we identified the process both significantly reduced stain defect count and used a shorter develop process time. In addition to reducing defect count, we identified the mechanism of reduction of the stain defect. This was done by analyzing the composition of the defect.
Impact of surface treatment on resist reflow process
Resist reflow techniques have widely been adopted for lithography in resolution limited region. During the reflow process, resist patterns are heated over its glass temperature through number of temperature steps. Early works have focused how the temperature steps during heat-up process can be effectively controlled for critical dimension (CD) and the pattern profile after reflow. However, for a specific application that needs moderate CD and profile change, adjusting heat-up parameters would not be sufficient to achieve good CD and profile control and additional relevant parameters should be accounted. In this paper, we count surface treatment condition on reflow process as an additional control parameter. We measured CDs with varying surface treatment parameters of substrate on square arrays of resist islands with 300 nm island-to-island gap space. We found that the amount of after develop CD to after reflow CD bias decreases as contact angles of substrates increases. In conclusion, we prove the resist reflow CD can be controlled precisely by adjusting the substrate coverage of hydroxyl groups during adhesion treatments in addition to the temperatures for the resist reflow process.
Achieving small dimensions with an environmentally friendly solvent: photoresist development using supercritical CO2
Dissolution rates of molecular glass photoresists in supercritical CO2 have been measured with the assistance of an interferometric dissolution rate monitor coupled to the supercritical CO2 vessel. Data show that fully protected polyphenolic molecular glass cores can show dissolution rates >500 nm/min depending on processing conditions. This extends to large branched structures and ring-type molecules approaching molecular weight 2000 g/mol. Molecular glass resists of this type that possess glass transition temperatures above 100oC can be patterned and developed in scCO2 with resolution <65 nm. Using these concepts, positive-tone photoresists based on acid-catalyzed decrosslinking reactions have also been developed. This represents the first report of intrinsically positive tone photoresists developable in pure scCO2.
Wafer shape compensation at the track PEB for improved CD uniformity
This paper investigates the feasibility of using an electrostatic chuck (ESC) on a post exposure bake (PEB) plate in the track to improve the critical dimension uniformity (CDU) for bowed wafers. Although it is more conventional to consider vacuum chucking during PEB, electrostatic chucking offers some potential advantages, chief among which is the fact that electrostatic chucking does not require any type of a seal between the wafer and the PEB plate whereas vacuum chucking does. Such a seal requires contact and therefore has the potential to generate backside particles on the wafer. Electrostatic chucking therefore has the potential for a cleaner overall process. Three different PEB plates were tested in the course of this investigation, a non-chucking PEB plate (SRHP), a PEB plate equipped with a vacuum chuck (VRHP), and a PEB plate equipped with an ESC (eBHP). It was found that CD uniformities were up to 84 percent lower for bowed wafers that were chucked during PEB relative to wafers that were not chucked. In every case tested, wafers processed through chucking PEB plates showed lower CDUs than wafers processed through the non-chucking plate. CDU results were similar between vacuum chucked wafers and electrostatic chucked wafers. Based on the results presented in this paper, it can be concluded that electrostatic chucking during PEB is a feasible method for controlling CD uniformities on bowed wafers.
Wafer warp caused by thick film resists acting as a permanent part of the device
R. Leuschner, M. Franosch, T. Dow
Epoxy-novolak thick film resists are of interest for applications where the resist pattern remains in the device serving as mechanical alignment aids, micro channels or protection layers. For these applications, good mechanical properties are of interest. Unfortunately mechanical strength comes with high cross-linking density and, therefore, high tensile stress. We report here on a comparison of three commercially available thick film resists with respect to the following criteria: thickness and uniformity on high topography wafers, i-line photo speed, adhesion on Si3N4, hardness and plasticity, glass transition temperature and wafer warp. The three resists (2 types of SU-8 [MicroChem Corp.] and one type of TMMR [Tokyo Ohka Co., LTD]) show different behavior as a result of the different solvent, photo-acid-generator (PAG) and polymer properties, and trade-offs have to be made depending on the applications.
Characterization of array CD uniformity with respect to pattern density in 193nm dry photolithography
Vishal Sipani, David A. Kewley, Kaveri Jain, et al.
As we move toward printing sub-100nm features using 193nm dry photolithography with high-contrast photoresists, effects of mask transmission and pattern density start to play an important role in critical dimension uniformity (CDU). With these two factors in existence, the linewidth for a dense feature block gradually increases from the center to the edge of the array of the block. This change in CD is typically observed for low-transmission reticles. In this paper, we have characterized variables, such as reticle tone and resist processing parameters, which have an effect on the CD uniformity. Use of high-contrast photoresist can increase the effect of chemical flare and can have higher CDU. We have further shown that by using a topcoat or by making changes in the resist bake temperature and time, the effect of chemical flare can be reduced. We also propose a mechanism by which resists exhibit this characteristic and show that both the photoacid generator and quencher can contribute to chemical flare.
Non-ionic photoacid generators for chemically amplified resists: chromophore effect on resist performance
The development of semiconductor chips is making progress with an astonishing speed, enabling electronic apparatus smaller, higher speed, and higher performance. This dynamic advancement is significantly attributable from the development of photolithography technology. At an early era of integrated circuits (IC) manufacturing lithography technique was introduced with light source of g-line wavelength (436 nm). For further miniaturization of IC the wavelength of light source is getting shorter and shorter, i.e., i-line (365 nm), KrF (248 nm) and ArF (193 nm). Currently ArF immersion is actively investigated as the most advanced technology, and EUV (13.5 nm) is discussed as the next generation lithography. Chemically amplified (CA) resist is mainly employed for the study of the advanced lithography. The CA resist is composed of polymer bearing acid-labile groups and photoacid generator (PAG). Photolytic decomposition of PAG during light exposure generates acids in the CA resist. This acid acts as catalyst to facilitate de-protection reaction of ester group or acetal group of polymer, rendering polymer matrix alkaline-soluble. For achieving desired resist performance such as resolution, depth-of-focus, line edge roughness and etching resistance, the acid-labile polymers have been intensively studied first and developed notably. For further improvement of the CA resist, PAG is the key ingredient. We have developed new oxime sulfonate type PAGs releasing strong acid. A series of oxime sulfonate compounds with various chromophors have been synthesized and the application-relevant properties are presented in this paper.
The synthesis of novel ester acetal polymers and their application for chemically amplified positive i-line photoresist
Liyuan Wang D.D.S., Yongen Huo, Fanrong Kong
Novel ester acetal polymers can be synthesized by the reaction of common aromatic dicarboxylic acids with divinyl ether compound in the presence of organic solvent. These polymers have good solubility in commonly used solvents. The molecular weights of the acetal polymers are measured 6000 - 7000(Mn) with Mw/Mn of 1.5-2.5. The polymers show high thermal stability. The acidolytic reaction of the polymers undergoes rapidly at room temperature or at a little higher temperature. Making use of the acidolysis activity at room temperature of the acetal polymer, we can form new type of chemically amplified positive i-line photoresist with the main contents including phenolic resin, the acetal polymer and PAG(s-triazine). Clear pattern with resolution of 2-3&mgr;m was obtained in the lithographic experiment of the photoresist. The photosensitivity of the photoresist is below 50mj/cm2.
Study for aluminum metal patterning process with oxide hardmask in 90-nm s-flash memory device fabrication
Sang Il Hwang, Ki Jun Yun, Sang Wook Ryu, et al.
It is necessary to make small sized flash cells for high density flash products and it is indispensable to minimize the line and space widths of Metal lines in such a high density Flash chips. To minimize a line and space widths, the thickness of photo resists must be reduced for the process margin of photo lithography. but the reduced thickness of photo resists is normally not enough for metal etch. So hard masks are needed for metal etch. In this study we have made a metal-etch process with KrF photo resists and oxide hard mask which has lines and spaces with 120 by 120nm. We have used TEOS film as an oxide hard mask for metal etch and have made an ex-situ process to open the hard mask for metal etch, in this ex-situ hard-mask-open process we have had bad line-edge-roughness (LER) problems but we have found out the main effective parameters for LER by DOE methods and various experiments and have finally optimized the process conditions. After the hard-mask-open process, metal line can be patterned with photo resist and oxide hard mask. The side wall profile of metal lines made by oxide hard mask are different from those made by normal photo resist mask because the types and quantities of by-products from oxide masks are different from those from photo resists during etch process. Normally by-products or polymer could be re-deposited to the side wall of metal lines, so they could be protected from possible side-wall-attacks. However due to the lack of by-products from oxides, the side wall of metal lines could be damaged if they were etched only with oxide-hard-masks, so we have had a experiment of metal lines' profile characteristics according to the ratio of photo resist's thickness to oxide hard mask's thickness, and have found out that the ratio of photo resist to oxide hard mask has a significant role in metal line profiles. Despite those side wall profile problems, eventually we have optimized a condition by adjusting the proper thickness of oxide-hard-masks and by tuning the process gas in the series of etch process. Finally in 90nm s-Flash device fabrication, we have made the metal lines with the same high qualities as those of 130nm devices from the view point of profiles and Electro Migration characteristics.
Defect reduction using new digital valve dispensing technology
Defectivity requirements are constantly put to new standards, even in older factories where new toolsets aren't a feasible alternative. To prolong the life of this equipment, Integrated Designs has created the digital dispense valve system. Tracks with older pump systems are plagued with repeatability and suckback issues that are key factors in eliminating or reducing defectivity. The digital dispense system not only increases the pump's capability to hold suckback across significant amounts of idle time regardless of viscosity, but also allows for lower dispense volumes due to more repeatable dispenses. Combining these factors results in a significant decrease in defectivity with only minor equipment additions, that previously would have required costly pump and track upgrades.
Study for high voltage gate RIE process in LDI (LCD driver IC) device fabrication
In this study, we reported on the evaluation result of the optimized high voltage gate patterning in liquid crystal display (LCD) driver integrated circuit (IC) with its preparation, characterization and composition of each parameter such as etching gas chemistry, RF power, and pressure. The patterning process of high voltage gate oxide was performed with the CF4/CHF3/O2/Ar based gas chemistry to avoid the leakage current from high voltage gate stack by non-uniform remnant gate oxide thickness. Albeit we obtained the minimized fluctuation of gate oxide thickness, the plasma damage by plasma patterning process affected the leakage current of high voltage gate film stack. In conclusion, we found that the major parameter for leakage current in high voltage gate stack by DOE method of gate patterning and achieved that the optimized condition of high voltage gate patterning. To optimize the performance of high voltage gate oxide, the thickness of remnant oxide must be controlled uniformly in gate patterning for improving the margin of high voltage gate transistor. Verifying that the patterning performance of physical and electrical characteristics with analytical tools such as secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), auger electron spectroscopy (AES) and probe station as well.
Study of shallow trench isolation dry etching process using oxide hard mask and KrF photo-resist in 90 nm stand-alone flash device
Eunsang Cho, Mingon Lee, Dongwon Shin, et al.
We investigated that Shallow Trench Isolation (STI) dry etching process using SiO2 hard-mask and KrF photo-resist in 90nm stand-alone flash device. As shrinkage of design rule, the thickness of photo-resist is reduced because of guarantee for process margin in photolithographic process, but the etch process margin is smaller. For the reason, the hard-mask system for etch is needed. Generally, the STI dry etching process is composed of two or three steps, such as the ARC etch, the hard-mask etch, and the Si etch. In order to etch multi-stacked layer (ARC, Oxide hard-mask (SiO2), Si3N4 as CMP stopping layer, and Si), we have controlled the parameters of etching (plasma power, gas, and pressure). In the SiO2 hard-mask and Si3N4 layer etching process, we use a mixture chemistry of CF4, CHF3, O2, and Ar and get an optimized condition for the multi-layer system. The SiO2 layer is role of mask for Si layer because the selectivity between SiO2 and Si is superior to others. Finally, we get a good horizontal and vertical profile of STI by using a mixture chemistry of Cl2, HBr, and O2.
60-seconds puddle time: a tradition to overcome in CA resists: process optimization and defect elimination
Eitan Shalom, Shaike Zeid
The develop process of traditional Novolac I-line resists with 2.38% TMAH developers was optimized at 60 second puddle time. This process was embedded in the lithographers' tradition, and so it was transferred to newer resist technologies i.e. CA resists. The dissolution rate of exposed I-line resists is in the order of 1000A/sec, while KrF resists have dissolution rate of ~12,000A/sec, and ArF resists at ~60,000A/Sec. The resist thickness of KrF and ArF resists is usually less than 0.5 micron, which means that the exposed film is dissolved within a fraction of the first second. The extended exposure of the resist features to developer was found to be a major reason for defects described as flying lines in center. There are two routes to eliminate the extended development of the resist: one is to dilute the developer as a method for improving the contrast and reducing the dissolution rate. This approach is very demanding and involves renewing the OPE data package, adding a new line to the tracks with a new material that is not a standard developer, but a special dilution. The other option is reduce develop time in order to minimize the attack on the resist-substrate interface, and resist edge. Experiments were run at 10, 20, 25 and 40-second puddle time, showing only a minor increase in exposure energy is necessary to reach CD target. OPE data was checked by simulation and SEM measurements, showing no significant difference between 60 and 25 second puddle time. Reducing puddle time improved defect density and eliminated the phenomenon of flying lines in the center.
Reflection control for line features of multiple pitches at hyper NA
Michael Reilly, Michael Wagner, Warren Montgomery, et al.
This study combines simulation and experiment to compare the impact that changing BARC thickness around some nominal value has on the resist profile, on an underlying reflective surface. Process window and profile effects are an important part of understanding how a BARC interacts with the resist's parameters to affect the latitude in the light of imperfect reflection control. Reflectivity simulations are made using MATLAB(r); and ProlithTM that show the effect of choosing refractive index and thickness in a multi-layer bottom anti-reflecting coating (BARC). Trends are identified for the better operating values for the index as well as specific values that meet the criterion for organic BARC in a front end application on a reflective substrate. Experimental profiles are compared to simulation using a calibrated resist model for nominal, better and ideal BARC stacks. Reflectivity, as a function of angle in resist, is convolved with the diffraction intensity distribution. This reflection, determined by a pitch's diffraction angle, identifies what can become problematic in setting up a process. Depolarization causes are discussed and while their impact affects image formation, there is little difference in reflection.
Improvement of 90nm technology VIA photo alignment through TiN hard mask removing above previous photo alignment mark area
Wen-Shiang Liao, Hsin-Hung Lin, Yu-Huan Liu, et al.
As the IC product scribe line of logic 90nm (L90) technology shrinks from 80µm to 62μm, the wafer quality (W.Q.), will become weak and less distinguishable during the subsequent ASML scanner stepper's photo mask aligning. Many wafers having photo mask aligning errors will eventually lead to wafer scrapping. In order to improve the photo alignment signal (W.Q.) acquired from the relatively smaller 62μm scribe-line's alignment mark while proceeding with the VIA layer photo aligning directly to its previous metal layer, it is found that removing the TiN hard mask (H.M.) just above the previous inter-metal dielectric (IMD) and alignment mark area can help the deep ultra-violet (DUV) 193nm wavelength ASML scanner stepper successfully acquires a better alignment signal and alignment accuracy (A.A.). However, due to copper (Cu) residues and CMP dishing after metal copper CMP, it has been found that both large area "half size open" and "full size open" approaches for TiN removing in the scribe-line alignment area can not be used. Hence, for safer photolithography aligning margin the "sizing + 0.25μm" mark on the scribe line's photo alignment area is suggested for better signal acquiring, whose experimental results in UMC shows that around 90% of the alignment signal (W.Q.) can be verified. The alignment accuracy (A.A.) can also be improved through using this technique and is accurate enough as compared to the conventional scanner alignment method used for above 0.13μm generation technology.
A comparison in dispense methodology for spray coat dispensing
Joe Coulter, Brian Kidd, Jeff Hawks
In order to address new technology requirements, Spray Photoresist Dispense Processing has been developed to aid in the manufacturing of High Aspect Ratio devices. The choice of process pump to affect the Spray Process is critical to the results, as the dispense uniformity is greatly influenced by the type of pump used to supply fluid to the Ultra Sonic dispense nozzle. Previous methods used had insufficient ramp rate of the dispense output. The pressure on demand dispense unit has excellent ramp rate to required flow rate, and the Patented Control Technology provides stability within tolerance to improve overall uniformity. The implementation of this method will improve cycle time and reduce the processing cost of wafers.
Posters: EUV Resists
icon_mobile_dropdown
EUV resist outgassing analysis in Selete
To keep in pace with the highly accelerated speed of development of EUV resists, the use of the pressure rise method in the screening of EUV resist outgassing was utilized. This method was used for its advantage of in-situ applicability and evaluation speed (short evaluation time). Both “outgassing rate” [molecules/cm2/s] and “outgassing amount” [molecules/cm2] unit conventions have been obtained. In the conference, an overview of the latest EUV resist outgassing analysis results using various EUV resists (i.e. chemical amplified, PHS, acrelate, high Ea, low Ea, negative-tone, molecular, etc.) will be discussed in detail.
EUV resist based on low molecular weight PHS
Masamitsu Shirai, Akitaka Kurosima, Haruyuki Okamura, et al.
Two types of EUV resists based on poly(4-hyrdoxystyrene) (PHS) were designed, i.e., PHS-bound sensitizer (PHS-FISS) and PHS/sensitizer blend (PHS/FITS). Imino sulfonate compounds were used as a photosensitizer. A PHS-bound sensitizer resist was prepared by the conventional radical copolymerization of 4-(tertbutyldimethylsilyl)oxystyrene (MSOST) and 9-fluorenilideneimino p-styrenesulfonate (FISS) and subsequent de-silylation of the copolymer. PHS with low molecular weight distribution was obtained by the anionic polymerization of MSOST and followed by de-silylation of the polymer. It was found that both types of resist were negative type and highly sensitive ( 10-20 mJ/cm2 ) on exposure at 254 nm and 13.5 nm. In the case of blended resist, the sensitivity was dependent on the amounts of sensitizer added and molecular weight of PHS. Outgassing from the present resists on EUV exposure was lower than that observed for MET-2D resist.
Quantitative analysis of EUV resist outgassing
Extreme ultraviolet (EUV) resist outgassing is viewed as one of the main factors to be considered in the research and development of EUV resists. The release of resist by outgassing in a high-vacuum EUV exposure tool system can mean contaminated optics which in effect causes a decrease in EUV energy reaching the wafer surface. An energy decrease could translate to lower throughputs and lesser productivity. In this paper, the quantification of resist outgassing upon EUV exposure is discussed. Special attention is given to the variation of resist outgassing quantification between evaluation tools of different beam intensities using the pressure rise method. Besides the commonly used resist outgassing rate calculation, the utilization of the resist outgassing amount as basis for comparison is proposed. Three types of resists were analyzed in two resist outgassing evaluation tools of different EUV beam intensities. As a result, resist outgassing rate was found to vary 19 to 109 times between evaluation tools. In contrast, resist outgassing amount was found to vary 1 to 2 times between evaluation tools. From these results, it is proposed that resist outgassing evaluations be performed using resist outgassing amount.
Development of novel positive-tone resists for EUVL
Low molecular weight materials that form a stable glass above room temperature offer several advantages comparison with traditional linear polymers as patterning feature size decreases. Low molecular weight amorphous materials that are free from chain entanglements with smaller molecular size and high density of sterically congested peripheral molecules are expected to reduce the variations in line edge roughness (LER) at smaller feature dimensions. In addition, the small uniform molecular size offers excellent processability, flexibility, transparency and uniform dissolution properties based on elemental composition. The evaluation of the novel low molecular weight amorphous resists was carried out both at NewSUBARU synchrotron facility of University of Hyogo, and at ASET EUV process technology research lab. in Japan. Newly synthesizes low molecular weight resist has shown high performance of sensitivity and resolution under EB or EUV exposures and also etch resistance. In this paper, we outline the design and synthesis of new molecular weight resists. The material properties, photochemistry and the patterning capability of these newly synthesizes low molecular weight resist will be discussed.
Development of partially fluorinated EUV-resist polymers for LER and sensitivity improvement
Takashi Sasaki, Osamu Yokokoji, Takeo Watanabe, et al.
In order to improve EUVL resist characteristics, especially sensitivity, we have investigated two types of partially fluorinated resist polymers. The one was side chain fluorinated PHS type resist polymers. The other was main chain fluorinated resist polymers. Poly (p-hydroxystyrene) (PHS) type polymers with trifluorostyrene (TFSt) were synthesized and characterized their sensitivity behavior. From this evaluation, we found that PHS contained TFSt unit had a high sensitivity, keeping their etching durability. We expect that TFSt unit can work to enhance the resist sensitivity in PHS based EUVL resist polymers. Main chain fluorinated polymers based FIT unit (FITMAd and FITAdOM) were synthesized. FITMAd and FITAdOM showed high sensitivity compared to non fluorinated reference sample. From molecular weight measurement, we infer that the polymer main chain of FITMAd can be decomposed by irradiating with EUV light. The outgassing of FITMAd and FITAdoM were measured. There is no big difference between the total outgassing of FIT polymers and that of non fluorinated acrylic sample. And small amount of Hydrogen fluoride (HF) were detected. We infer that FITMAd and FITAdOM are decomposed then HF is generated under EUV exposure. From these results, we expect that FIT unit can work to enhance the resist sensitivity and can act main chain decomposed resist unit in EUVL resist polymers.