Proceedings Volume 6921

Emerging Lithographic Technologies XII

cover
Proceedings Volume 6921

Emerging Lithographic Technologies XII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 29 April 2008
Contents: 28 Sessions, 118 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2008
Volume Number: 6921

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6921
  • Keynote Session
  • Nanoimprint I
  • Nanoimprint II
  • EBDW I
  • EUV Systems
  • EUV Source I
  • EUV Source II
  • EUV Contamination
  • EUV Imaging
  • EUV Resists: Joint Session with Conference 6923
  • Nanoimprint III
  • Parallel E-Beam Systems
  • EBDW II
  • EUV Mask
  • EUV Metrology
  • Directed Self Assembly
  • New Patterning Technologies
  • Poster Session: Nanoimprint
  • Poster Session: EBDW
  • Poster Session: DSA and New Patterning Technologies
  • Poster Session: EUV System
  • Poster Session: EUV Source
  • Poster Session: EUV Contamination
  • Poster Session: EUV Imaging
  • Poster Session: EUV Resist
  • Poster Session: EUV Mask
  • Poster Session: EUV Metrology
Front Matter: Volume 6921
icon_mobile_dropdown
Front Matter: Volume 6921
This PDF file contains the front matter associated with SPIE Proceedings Volume 6921, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.
Keynote Session
icon_mobile_dropdown
Selete's EUV program: progress and challenges
Ichiro Mori, Osamu Suga, Hiroyuki Tanaka, et al.
Selete launched a development program on EUV lithography and related mask technology in April 2006. The program is based on the concept of "lithography design and integration." It covers a wide range of areas that require further effort to get EUVL ready for volume production and was formulated on the basis that the issues should be considered from a variety of standpoints, such as acceleration of the development of key lithographic components, verification that EUVL is actually suitable for mass production, the construction of mask infrastructure, and the improvement of EUV-specific reliability and productivity. Two exposure tools have been installed as basic infrastructure: the small-field exposure tool (SFET) and the full-field exposure tool (EUV1). The objectives of the SFET installation are acceleration of the development of resist materials and processes, optimization of the mask structure and materials, and the evaluation of the exposure tool technology with regard to such things as imaging performance, stability, and the lifetimes of the optics and source components. The objective of the EUV1 installation is to demonstrate that lithography integration is a viable path to making EUV lithography a practical production technology. We found that the SFET provides both excellent resolution and high tool activity. This high performance helps us to obtain a clear understanding of the current level of EUVL performance and enables us to learn many things that can be fed back into the development program in the beta stage. A 1st static exposure with the EUV1 resolved 30-nm dense and isolated lines and 30-nm holes. The potential resolution was found to be as good as 28 nm. Although progress was made regarding EUV resist sensitivity and LWR, further progress is needed. A tool for analyzing out-gassing in EUV resists was found to facilitate the development of both resist materials and contamination control measures for exposure tools. A prototype full-field actinic inspection system for mask blanks is now under development and should become operational in the 2Q of 2008. A mask protection engineering (MPE) tool was used to show that a dual-pod carrier is very effective in protecting a mask from particles. Mask pattern defect inspection technology using a DUV wavelength of 199 nm and defect repair technology based on an FIB for EUV mask fabrication are also being developed. This work was supported in part by NEDO.
Breaking the limits: combination of electron beam lithography and nanoimprint lithography for production of next-generation magnetic media and optical media
Babak Heidari, Marc Beck
The increased requirement e.g. resolution in multimedia displays creates the need for more storage capacity in both optical discs as well as hard drives. Blu-Ray-ROM and particularly future optical media formats require the employment of new lithography technologies. Today's magnetic media technology is facing difficulties to continue to higher surface densities and larger capacities due to the superparamagnetic limit. By using isolated magnetic domains to store the data, making it possible to get beyond 500 Gbits/in2 densities. The approach we describe uses a unique direct-write electron beam lithography system for lithography on a rotating substrate and creates a patterned master disc, which can be used as a mold in replication of final disks by imprint lithography. The imprint process replicates the original pattern with an exceptionally fast turn around time, making mass production of optical and magnetic media possible. However, realization of these new technologies offers challenges in implementation.
Nanoimprint I
icon_mobile_dropdown
Study of nanoimprint lithography for applications toward 22nm node CMOS devices
Ikuo Yoneda, Shinji Mikami, Takumi Ota, et al.
Nanoimprint lithography is one of the candidates for NGL. Recently, the "S-FIL TM" (Step and Flash Imprint Lithography) has been developed by MII (Molecular Imprints, Inc.). Accordingly, it is necessary to build next-generation devices and study unit processes without delay. Because of good resolution, CD uniformity and LER, nanoimprint lithography is attractive. However, nanoimprint lithography (S-FIL) involves risks. In order to judge whether the S-FIL is applicable to the study of unit processes and test device fabrication, we had studied the feasibility of S-FIL technology. As a result of previous work, we obtained the results of basic evaluation and confirmed the applicability of nanoimprint lithography for unit process study and basic test device fabrication. However, application of nanoimprint lithography to various test devices requires the template resolution of 22nmHP, OL accuracy on multilayer resist, and defect density for various patterns. Therefore, in order to judge whether the S-FIL application is extendable to various test devices, we studied the characteristics of S-FIL. As a result of this work, we confirmed that the nanoimprint application is extendable to fabrication of various test devices. And as a result of basic evaluation, improvement of template resolution is confirmed and the value of 22nmHP is obtained. We confirmed the robustness of the alignment process. The defect density is related in pattern density and spread time. Thus, reduced DD without throughput loss is required.
Full-field imprinting of sub-40 nm patterns
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32, 22 and 16 nm nodes. Step and Flash Imprint Lithography (S-FIL (R)) is a unique patterning method that has been designed from the beginning to enable precise overlay to enable multilevel device fabrication. A photocurable low viscosity resist is dispensed dropwise to match the pattern density requirements of the device, thus enabling patterning with a uniform residual layer thickness across a field and across multiple wafers. Further, S-FIL provides sub-50 nm feature resolution without the significant expense of multi-element projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of imprint masks (templates). For sub-32 nm device manufacturing, one of the major technical challenges remains the fabrication of full-field 1x imprint masks with commercially viable write times. Recent progress in the writing of sub-40 nm patterns using commercial variable shape e-beam tools and non-chemically amplified resists has demonstrated a very promising route to realizing these objectives, and in doing so, has considerably strengthened imprint lithography as a competitive manufacturing technology for the sub-32nm node. Here we report the first imprinting results from sub-40 nm full-field patterns, using Samsung's current flash memory production device design. The fabrication of the imprint mask and the resulting critical dimension control and uniformity are discussed, along with image placement results. The imprinting results are described in terms of CD uniformity, etch results, and overlay.
Fabrication and test of nano crossbar switches/MOSFET hybrid circuits by imprinting lithography
Zhiyong Li, Xuema Li, Douglas A. A. Ohlberg, et al.
An integrated circuit combining imprinted, nanoscale crossbar switches with metal-oxide field effect transistors (MOSFET) was fabricated and tested. Construction of the circuits began with fabrication of n-channel MOSFET devices on silicon-on-insulator (SOI) substrates using CMOS compatible process techniques. To protect the FET devices as well as provide a flat surface for subsequent nanoimprint lithography, passivation and planarization layers were deposited. Crossbar junctions were then fabricated next to the FETs using imprint lithography to first define arrays of parallel nanowires over which, a switchable material layer was deposited. This was followed by a second imprint proces to construct another set of parallel wires on top of, and orthogonal to the first, to complete the nano-crossbar array with a half pitch (hp) of 50 nm. The switchable crossbar devices were then connected to the gate of the FETs and the resulting integrated circuit was tested using the FET as the output signal follower. This successful fabrication process serves as a proof-of-principle demonstration and a platform for advanced CMOS/nanoscale crossbar hybrid logic circuits.
Minimizing linewidth roughness for 22-nm node patterning with step-and-flash imprint lithography
Gerard M. Schmid, Niyaz Khusnatdinov, Cynthia B. Brooks, et al.
Imprint lithography achieves high resolution patterning with low roughness by avoiding the tradeoff between pattern quality and process throughput - a tradeoff that limits the capability of photolithography with chemically amplified resists. This work demonstrates the use of ZEP520A electron-beam resist for fabrication of imprint masks (templates). It is shown that high resolution, low roughness patterns can be robustly transferred from imprint mask to imprint resist, and from imprint resist through etch transfer into the underlying substrate. Through improvements to the electron-beam patterning process, 22 nm half-pitch patterns are routinely achieved with linewidth roughness (LWR) of just 2.6 nm, 3σ
Nanoimprint II
icon_mobile_dropdown
Chemical and mechanical properties of UV-cured nanoimprint resists and release layer interactions
Frances A. Houle, Ann Fornof, Dolores C. Miller, et al.
UV-curable nanoimprint resist characteristics and performance are key to controlling resist-related defects formed during template removal due to cohesive failure and strong resist-template adhesion. The debonding process is governed by both the chemical bonds that form between the template and the resist during cure, and by the structure of the resist itself which determines its elastic-plastic response under load. To gain insight to contributions from resist composition to the debonding process we examine the connection between mechanical and chemical properties of a family of methacrylate polyfunctionalized polyhedral oligomeric silsesquioxane (mPSS) containing resists to their adhesion to fluoroalkyl silane release layers. We also survey debonding of one of the mPSS formulations, an acrylate formulation and a vinyl ether formulation from as series of metal oxide and metal nitride release layers. The results show that while intrinsic storage modulus of a cured material is important, interfacial segregation of reactants in fluid resists can influence adhesive properties as well. The metal-containing release layers are shown to have generally much lower adhesion to cured resists than does a fluoroalkyl silane release layer. They present a useful alternative for template release treatments.
Dual damascene BEOL processing using multilevel step and flash imprint lithography
Brook H. Chao, Frank Palmieri, Wei-Lun Jen, et al.
Step and Flash Imprint Lithography (S-FIL®) in conjunction with Sacrificial Imprint Materials (SIM) shows promise as a cost effective solution to patterning sub 45nm features and is capable of simultaneously patterning two levels of interconnect structures, which provides a high throughput and low cost BEOL process. This paper describes the integration of S-FIL into an industry standard Cu/low-k dual damascene process that is being practiced in the ATDF at Sematech in Austin. The pattern transferring reactive ion etching (RIE) process is the most critical step and was extensively explored in this study. In addition to successful process development, the results provide useful insight into the optimal design of multilevel templates which must take into account the characteristics of both the imaging material and the dielectric layer. The template used in this study incorporates both the via and trench levels of an M2 (Metal 2) test vehicle that incorporates via chains with varying via dimensions, Kelvin test structures, serpentines, etc. The smallest vias on the template are 120nm vias with an aspect ratio of 2.0 and the smallest dense lines are 125nm/175nm with an aspect ratio of 2.9. Two inter-level dielectrics (ILD), Coral® and Black Diamond® were studied. No trench etch stop was incorporated in the ILD film stack. A multi-step, in-situ etching scheme was developed that achieves faithful pattern transfer from the sacrificial imprint material (SIM) into the underlying low k ILD with surprisingly wide process latitude. This multi-step scheme includes the following etch steps: a residual layer open, a via etch, a trench descum, a trench etch, and an SIM removal ash. Among these steps, the trench etch was found to be the most challenging to develop and it holds the key to producing high aspect ratio dual damascene features. An etching chemistry based on two fluorocarbon gases, CF4 and C4F8, was found to be very effective in delivering the desired etch profiles with optimal sidewall angle, minimal facet formation. The optimized etch process can be exploited to provide substantial size reduction and/or increased aspect ratio relative to the template. In this way structures with final critical dimensions of 95nm in vias with aspect ratio of 3.0 and 67nm/233nm in dense lines with aspect ratio of 3.6 were demonstrated with wide process latitude. This enables manufacturing of the template at larger dimensions, which simplifies both fabrication and inspection. The successful development of the dual damascene RIE process at the second metal (M2) level was demonstrated in a mixed and matched build with an ATDF standard first layer metal (M1) process. The M1 dielectric was TEOS and was patterned by 248nm lithography. The M2 and Via levels used Coral as ILD and both levels were patterned simultaneously by S-FIL using Molecular Imprint Imprio 55 and Imprio 100 imprint tools. This electrical test vehicle provided solid evidence that S-FIL is fully compatible with industry standard dual damascene process.
High resolution nanoimprint templates for dual damascene: fabrication and imprint results
Mathias Irmscher, Joerg Butschke, Ron Carpio, et al.
A dual damascene template fabrication process has been developed, which enables the structuring of high-resolution, high-aspect pillars on top of lines. Based on this technology templates with three different designs have been fabricated and characterized. Two templates are dedicated for an assessment of the fabrication process using a regular test design on one hand and an arbitrary CMOS design on the other hand. With the third template via chains shall be later realized as demonstrator for electrical tests. The templates have been imprinted in resist and sacrificial material on an Imprio 55 and an Imprio 100 tool. The usability of each fabricated template could be confirmed for the specific application. For the template manufacturing a Vistec variable shape e-beam (VSB) writer SB352HR and appropriate positive-tone and negative-tone chemically amplified resists (CAR) have been used.
Interfacial adhesion studies for step and flash imprint lithography
Michael W. Lin, Daniel J. Hellebusch, Kai Wu, et al.
The step and flash imprint lithography (SFIL) process requires the clean separation of a quartz template from a polymer imprint, and the force required to create this separation must be minimized to prevent the generation of defects. According to fracture mechanics principles, decreasing both the imprint polymer modulus and the interfacial fracture energy are beneficial for reducing the separation force. Adjusting the crosslinker concentration in the imprint formulation decreases the modulus but does not significantly impact the facture energy. On the other hand, fluorinated surfactant additives to the imprint fluid lower the modulus of the imprint polymer and decrease the fracture energy. The fracture energy is further decreased by using a nonreactive, liquid surfactant versus a surfactant that reacts with the polymer matrix. Angle-resolved X-ray photoelectron spectroscopy (XPS) results indicate that surfactant migration is more effective with a fluorinated surface treatment compared to an untreated quartz surface. This result shows that the use of fluorinated surfactants must be accompanied by a surface treatment that produces a similar energy or polarity to induce migration and lower the adhesive strength.
Sub-wavelength optical diffraction and photoacoustic metrologies for the characterisation of nanoimprinted structures
We report on the use of two original techniques for the quality evaluation of nanoimprint lithography with 50 nm feature size: sub-wavelength blazed diffraction gratings and photoacoustic metrology. Sub-wavelength diffraction has been used to characterise nanoscale structures by studying the diffraction patterns of visible wavelengths of light from gratings which are made up of features below the diffraction limit. Diffraction efficiencies of the diffracted orders are related to the nanoscale line-widths, heights and defects of the gratings. A stamp of a sub-wavelength blazed grating was fabricated by electron beam lithography and reactive ion etching in silicon and imprinted by NIL with different tools. Measured diffraction efficiencies agree with those from finite difference time domain simulations and we demonstrated the possibility to distinguish diffraction patterns from successfully imprinted gratings and those with a defect. The photoacoustic method has been used for the first time to study nanoimprint polymers. Signals were obtained from the top and bottom interfaces of polymer layers with aluminium and silicon, respectively, and thicknesses calculated from the time of flight of the acoustic wave and modelling physical parameters of the polymers, agree well with those measured by profilometry.
EBDW I
icon_mobile_dropdown
EBDW technology for EB shuttle at 65nm node and beyond
T. Maruyama, M. Takakuwa, Y. Kojima, et al.
When manufacturing prototype devices or low volume custom logic LSIs, the products are being less profitable because of the skyrocketing mask and design costs recent technology node. For 65nm technology node and beyond, the reduction of mask cost becomes critical issue for logic devices especially. We attempt to apply EBDW mainly to critical interconnect layers to reduce the mask expenditure for the reason of technical output reusability. For 65nm node production, new 300mm EB direct writer had been installed. The process technologies have also been developing to meet sufficient qualities and productivities.
E-beam direct write alignment strategies for the next generation node
H. Alves, P. Hahmann, K.-H. Kliem, et al.
New types of alignment marks to be applied in electron beam direct write (EBDW) have been studied theoretically and experimentally. The dependence of signal contrast and signal form on such mark properties like step height, mark pitch and stack material has been investigated in detail using Monte Carlo simulations. The different alignment marks were etched in Si to different depths and the respective alignment repeatability was determined with a Vistec SB3050 DW lithography tool. Finally, for the most promising mark, test exposures were performed and the overlay determined.
Gate edge roughness in electron beam direct write and its influence to device characteristics
Kang-Hoon Choi, Rok Dittrich, Matthias Goldbach, et al.
Line edge roughness (LER) and line width roughness (LWR) have raised questions and concerns as current lithography techniques reduce critical dimensions (CD) below 50 nm. There are few applications of controlled variation of LER and LWR, even among those which use electron beam direct writing (EBDW), although it is highly desirable to test the influence of systematical variation of LER and LWR on actual semiconductor devices. To get a clear understanding how and what the LERs and LWRs are influencing in EBDW, we have designed and fabricated transistor gates with programmed LER and LWR using EBDW and observed those based on CD-SEM metrology. The obtained results including calculated power spectrum density (PSD) shows the capability of EBDW to control the LER/LWR. Further, the influence of edge/width roughness in EBDW on device characteristics is reviewed and it gives how the effect of LWR/LER translates to device performance in DRAM process flow. It is found that the control of LWR is more important than that of LER for future lithography developments.
Proton beam writing: a platform technology for nano-integration
J. A. van Kan, F. Zhang, A. A. Bettiol, et al.
Proton beam writing (p-beam writing) is a process which uses a focused beam of MeV protons to pattern resist material at nanodimensions. This makes p-beam writing the only one tool for fast prototyping of high aspect ratio structures with vertical walls up to 60μm and high aspect ratio values with details down to the 20 nm level. The process, although similar in many ways to direct writing using electrons, nevertheless offers some interesting and unique advantages. Protons, being more massive, have deeper penetration in materials whilst maintaining even energy deposition along a straight path, enabling p-beam writing to fabricate 3D high aspect ratio structures with vertical smooth sidewalls and low line edge roughness. Calculations have also indicated that p-beam writing exhibits minimal proximity effects, since the secondary electrons induced in proton/electron collisions have low energy. A platform technology to integrate 3D nanowires is proposed through high aspect ratio nanofabrication using p-beam writing.
EUV Systems
icon_mobile_dropdown
Performance of the full field EUV systems
Hans Meiling, Edwin Boon, Nico Buzing, et al.
The ASML extreme ultraviolet lithography (EUV) alpha demo tool is a 0.25NA fully functional lithography tool with a field size of 26×33 mm2, enabling process development for sub-40-nm technology. Two exposure tools are installed at customer facilities, and are equipped with a Sn discharge source. In this paper we present data measured at intermediate focus of the Sn source-collector module. We also present performance data from both exposure tools, show the latest results of resist exposures including excellent 32-nm half pitch dense staggered and aligned contact hole images, and present the highlights of the first demonstration of an electrically functional full field device with one of the layers made using EUVL in ASML's alpha demo tool.
Nikon EUVL development progress update
Extreme Ultra Violet Lithography (EUVL) has been widely regarded as the lithography technology to succeed optical lithography. It is now considered as one of the most promising technologies below hp45nm node [1], following ArF immersion lithography considering trend of achievable process K1 factors. In this paper we would like to present our significant progress on the development of EUV exposure tool. There are several key important areas which should be developed to realize EUVL to be feasible, such as reflective mask, resist, and tool itself. The reflective mask features such characteristics as pellicle-less, ultra-smooth blank flatness and defect free. The resist should be of high sensitivity and small line edge roughness (LER) as well as fine resolution. EUV exposure tool itself consists of major modules such as EUV light source, projection optics, vacuum body, vacuum stages, and so on. Nikon has developed new polishing technologies such as ion-beam figuring and elastic emission machining, and new ultra high-precision interferometers for aspheric surface metrology. Our multi-layer coating technology has been also improved. High reflective Mo/Si multi layer coating has been successfully achieved and irradiation tests using synchrotron radiation have been conducted. Successful achievement of those developments enables us to produce full-field projection optics for EUVL process development tool called EUV1. The proto-type development of full-field projection optics has been successfully completed and its technical achievement has reflected into production optics. Preparation of complete set of production and metrology tools necessary for projection optics production was completed and all tools are now in full operation. Nikon has already developed dual pod reticle carrier for EUV1 tool. In parallel Nikon has been developing the same concept carrier for HVM in cooperation with Canon and Entegris. Regarding to EUV1 tool development, all modules of EUV1 such as full-field projection optics module, illumination optics module, vacuum body module, vacuum compatible reticle/wafer stage modules, reticle/wafer loader modules, EUV light source module have been completed. Nikon has already started EUV1 module installation process and the module level function and basic performance have been being checked. Nikon will complete module integration to achieve the first exposure result. Some development results will be shown which lead to confidence for realization of EUVL. Nikon also would like to announce that development of 1st generation production EUVL tool named EUV2 has been studied.
Canon's development status of EUVL technologies
Shigeyuki Uzawa, Hiroyoshi Kubo, Yoshinori Miwa, et al.
We developed a small field exposure tool (SFET) in collaboration with EUVA (Extreme Ultraviolet Lithography System Development Association). SFET was installed at SELETE (Semiconductor Leading Edge Technologies; Japanese Consortium) in 2006. SFET is positioned as a cornerstone of the manufacturing technologies for EUVL Full- Field tools as well as tool for resist and mask development. We started the system design of the Full-Field tool and fabrication of the six-mirror projection optics based on the experience of the SFET. In this paper, we introduce the outline of Canon's activities for the full-field tool. EUVL is requested to resolve the sub 30 nm features. The studies of the resolution for higher NA EUV projection optics is also presented.
Imaging performance of the EUV alpha semo tool at IMEC
G. F. Lorusso, J. Hermans, A. M. Goethals, et al.
Extreme Ultraviolet Lithography (EUVL) is the leading candidate beyond 32nm half-pitch device manufacturing. Having completed the installation of the ASML EUV full-field scanner, IMEC has a fully-integrated 300mm EUVL process line. Our current focus is on satisfying the specifications to produce real devices in our facilities. This paper reports on the imaging fingerprint of the EUV Alpha Demo Tool (ADT), detailing resolution, imaging, and overlay performance. Particular emphasis is given to small pitch contact holes, which are a critical layer for advanced manufacturing nodes and one of the most likely layers where EUVL may take over from 193nm lithography. Imaging of contact holes, pattern transfer and successful printing of the contact hole level on a 32nm SRAM device is demonstrated. The impact of flare and shadowing on EUV ADT performance is characterized experimentally, enabling the implementation of appropriate mitigation strategies.
The use of EUV lithography to produce demonstration devices
In this paper, we describe the integration of EUV lithography into a standard semiconductor manufacturing flow to produce demonstration devices. 45 nm logic test chips with functional transistors were fabricated using EUV lithography to pattern the first interconnect level (metal 1). This device fabrication exercise required the development of rule-based 'OPC' to correct for flare and mask shadowing effects. These corrections were applied to the fabrication of a full-field mask. The resulting mask and the 0.25-NA fullfield EUV scanner were found to provide more than adequate performance for this 45 nm logic node demonstration. The CD uniformity across the field and through a lot of wafers was 6.6% (3σ) and the measured overlay on the test-chip (product) wafers was well below 20 nm (mean + 3σ). A resist process was developed and performed well at a sensitivity of 3.8 mJ/cm2, providing ample process latitude and etch selectivity for pattern transfer. The etch recipes provided good CD control, profiles and end-point discrimination, allowing for good electrical connection to the underlying levels, as evidenced by electrical test results. Many transistors connected with Cu-metal lines defined using EUV lithography were tested electrically and found to have characteristics very similar to 45 nm node transistors fabricated using more traditional methods.
Development status of projection optics and illumination optics for EUV1
Katsuhiko Murakami, Tetsuya Oshino, Hiroyuki Kondo, et al.
Final adjustment of EUV1 projection optics was completed and its performance was evaluated. Wavefront error of 0.6nmRMS in average through the exposure field was achieved. The maximum and minimum wavefront errors in the whole field were 0.8nmRMS and 0.3nmRMS, respectively. Flare of the projection optics was estimated from the measured power spectrum density (PSD) of each aspheric mirror of the projection optics. The flare value for Kirk pattern with the radius of 1μm was estimated to be about 10%. Completed projection optics was installed into the main body of EUV1. Optimization of polishing process was further pursued. Consequently, LSFR of 38pmRMS, MSFR of 80pmRMS and HSFR of 68pmRMS were achieved. Assemble of the illumination-optics unit for EUV1 was completed and its performance was evaluated using an illumination-optics test stand. Irradiation uniformity on the mask plane, pupil fill and so on were measured with the test stand using a visible light and EUV radiation. Completed illumination-optics unit was installed into the main body of EUV1. Reflection-type spectral purity filter (SPF) and high-NA projection-optics design were investigated as new R&D items for the future optics of EUV exposure tools.
Smoothing properties of single and multilayer coatings: a method to smoothen substrates
A. J. R. van den Boogaard, E. Louis, E. Zoethout, et al.
In this work we present the smoothing properties of our ion beam smoothened multilayers and, based on the same technology, the extreme smoothing properties in the high and near mid spatial frequency range of a single-material smoothing layer. Coating results of high reflectance multilayers both on rough substrates and on substrates smoothened with silicon bufferlayers are discussed.
EUV Source I
icon_mobile_dropdown
CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography
Akira Endo, Hideo Hoshino, Takashi Suganuma, et al.
We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin target and a magnetic ion guiding for tin treatment. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved an average laser output power of 10 kW at 100 kHz by a single laser beam with good beam quality. EUV in-band power equivalent to 60 W at intermediate focus was produced by irradiating a tin rotating plate with 6 kW laser power. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic ion guiding. Effectiveness of the magnetic ion guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber with a maximum magnetic flux density of 2 T.
Progress on Xe-DPP source development for alpha phase
Masaki Yoshioka, Denis Bolshukhin, Guido Hergenhan, et al.
EUVL source development at XTREME technologies benefits from the learning gained in previous developments for EUV Micro Exposure and Alpha Tools. Field data available from operation of these tools represent the basis for continuous improvement in core technology areas such as plasma generation and forming, component reliability, debris mitigation and optical performance. Results from integration and first operation of alpha tool sources are presented in the areas power performance, component lifetime and debris mitigation efficiency. The analysis results and simulation work of the realized EUV source concept are discussed and innovative concepts for component and module improvements are introduced. In term of intermediate focus power, 4W has been achieved. Moreover the factor of 1.6 higher IF power to previous results has been implied to demonstrate capability for the achievement of more than 5W. For the Beta-tool and HVM source generations a joint development work between XTREME technologies and Philips EUV is introduced. The related work is content of another presentation of this conference.
Sn DPP source-collector modules: status of alpha resources, beta developments, and the scalability to HVM
Marc Corthout, Rolf Apetz, Jesko Brudermann, et al.
For industrial EUV (extreme ultra-violet) lithography applications high power extreme ultraviolet (EUV) light sources are needed at a central wavelength of 13.5 nm, targeting 32 nm node and below. Philips Extreme UV GmbH and XTREME technologies GmbH have developed DPP (Discharge Produced Plasma) Alpha tools which run in operation at several locations in the world. In this paper the status of the Alpha Sn-DPP tools as developed by Philips Extreme UV GmbH will be given. The Alpha DPP tools provide a good basis for the development and engineering of the Beta tools and in the future of the HVM tools. The first Beta source has been designed and first light has been produced. Engineering steps will folow to optimize this first generation Beta Sn-DPP source. HVM tools target EUV power levels from 200W to 500W in IF. In this paper we show that the power requried for HVM can be generated with Sn-DPP sources. Based on Alpha Sn-DPP sources we show that repetition frequency and generated EUV pulse energy is scalable up to power levels that match the HVM requirements.
Parametric tradeoffs in laser plasma sources for EUV lithography: debris versus radiators
Davide Bleiner, Bob Rollinger, Reza S. Abhari
A mass spectrometry and time-resolved shadowgraphy study is presented, focusing on the radiators/debris transport process for an LPP-EUVL source, under worst-case scenarios such as solid target, wide angular dispersion, etc. The solid angle of ion debris ejection increases as a function of plume lifetime, and up to 0.5 μs (approx. 15 mm above target) does not exceed 0.3 πsr. around the target normal. The plume expansion is hypersonic (15-20 km/s) with the formation of a bow shock with an initial length scale of 5-8 mm that gradually reduces its aspect ratio. Primary (low energy) ion debris is induced directly during laser-surface interaction, whereas almost 90% of these primary ions are converted to Z=6 -12 secondary fast ions by means of laser-plasma interaction. At very high laser power, the fraction of primary ions converted to high charge ions is diminished to 70%, possibly due to increased LPP shielding. Hence, the external atomic degrees of freedom (kinetic energy) and the internal degrees of freedom (electronic configuration) are pumped concomitantly, which presents a tradeoff to combine fast ion debris minimization and efficient radiators' maximization. Nevertheless, the radiating body is observed fairly static with time, with a length-scale of a few mm's. Hence, the decoupling of the mass flux and radiation flux may be achieved either in the short (10< t < 100 ns) or in the very long (t > 500 ns) time scales. Considering the EUV emission time scale constraints, the former is the only viable solution for enabling LPP-based EUVL.
EUV Source II
icon_mobile_dropdown
Advanced laser-produced EUV light source for HVM with conversion efficiency of 5-7% and B-field mitigation of ions
K. Nishihara, A. Sunahara, A. Sasaki, et al.
We propose a new scheme for high conversion efficiency from laser energy to 13.5 nm extreme ultra violet emission within 2 % band width, a double pulse laser irradiation scheme with a tin droplet target. We consider two-color lasers, a Nd:YAG laser with 1.06 µm in wavelength as a prepulse and a carbon dioxide laser with 10.6 µm in wavelength for a main pulse. We show the possibility of obtaining a CE of 5 - 7 % using a benchmarked radiation hydro code. We have experimentally tested the new scheme and observed increase of CE greater than 4 %. We show many additional advantages of the new scheme, such as reduction of neutral debris, energy reduction of debris ions, and decrease of out of band emission. We also discuss debris problems, such as ion sputtering using newly developed MD simulations, ion mitigation by a newly designed magnetic coil using 3-PIC simulations and tin cleaning experiments.
Characteristics of a minimum-debris optimum conversion efficiency tin-based LPP source
Bob Rollinger, Davide Bleiner, Ndaona Chokani, et al.
The conversion efficiency and potential for debris of planar and spherical targets of laser-produced tin-plasmas for use in a high-volume manufacturing EUVL source collector module are computationally examined. A Nd:YAG laser beam is used to irradiate the targets under different irradiances and pulse durations. A two-dimensional/axisymmetric hydrodynamic code, an atomic physics code and an analytical model are used to perform simulations of the laser-plasma processes. The predicted conversion efficiencies are in good agreement with data reported from experiments. The optimum laser parameters yield maximum conversion efficiencies of 1.86% and 1.45%, respectively for the planar and spherical targets. However, the spherical target is best suited for low cost-of-ownership, as it has significantly lower neutral debris compared to the planar target. The key finding of this work is that the laser produced plasmas of both planar and spherical targets are non-uniform. These non-uniformities must be accounted for in the design of collection optics and debris mitigation schemes.
Thermal and optical characterization of collectors integrated in a Sn-DPP based SoCoMo
The paper presents the results of an investigation into the thermal and optical characteristics of alpha-type dual-mirror grazing incidence collectors for Extreme Ultra-violet Lithography integrated into a tin-fueled discharge produced plasma source. The performance of the system is assessed at various power levels and temperature conditions. The thermal and the optical data, in particular images at extra-focal planes behind the intermediate focus, are compared to the predictions of the thermo-optical model of the system. The data we present provide verification of the models used to design the collector and validation of the thermo-optical modeling approach for design of future generations of collectors.
Multidimensional simulation and optimization of hybrid laser and discharge plasma devices for EUV lithography
A. Hassanein, V. Sizyuk, T. Sizyuk
Current devices for EUV lithography combine both laser and discharge physics to achieve sufficient brightness with minimum debris generation to support the throughput requirements of High-Volume Manufacturing (HVM) lithography exposure tools with long lifetime. Source performance, Debris mitigation, and reflector system are critical to efficient EUV collection and component lifetime. Integrated models are developed to simulate EUV emission at high power and debris generation and transport in hybrid EUV devices. The models being developed include, for example, new ideas and parameters of laser beams in discharge devices. In addition, optimization of source parameters, combination magnetic fields and gas jet parameters to significantly reduce the debris, and mirror surface conditions to enhance the reflectivity of EUV. Source optimization studies include full 3-D simulation of laser interaction with tin targets followed by discharge simulation to produce the optimum EUV photons. Initial simulations show that for HVM devices a combination of source optimization, innovative debris mitigation system, and understanding debris/mirror interaction is required to achieve the lifetime needed.
EUV Contamination
icon_mobile_dropdown
Radiation-induced defect formation and reactivity of model TiO2 capping layers with MMA: a comparison with Ru
B. V. Yakshinskiy, M. N. Hedhili, S. Zalkind, et al.
Our goal is to provide insights into surface processes that affect the reflectivity and lifetimes of TiO2 and Ru-capped multilayer mirrors used in extreme ultraviolet (EUV) lithography. Several surface-sensitive ultrahigh-vacuum techniques are used to characterize thermally-induced and electron-induced surface reactions of methyl methacrylate (MMA), a model for hydrocarbons found in EUV lithography vacuum chambers; low-energy electron beams are used to mimic excitations initiated by EUV radiation. Carbon film growth is measured on TiO2 surfaces during electron bombardment (at 20 eV and 100 eV) in MMA vapor; C growth rates are compared on Ru surfaces. The initial rates on the clean surfaces are very different: a C film grows more rapidly on TiO2 than on Ru. However, the limiting growth rates are the same for C thicknesses greater than ~1 to 1.5 nm, when MMA interacts with a C film. Irradiation of the C films in O2 gas, or in MMA + O2, has a mitigating effect on TiO2 surfaces.
Lifetime of EUVL masks as a function of degree of carbon contamination and capping materials
Lifetime of EUVL masks which are intentionally contaminated with carbon is investigated by comparing Si and Ru capping layer. Carbon deposition is observed not only on the multilayer, but also on the absorber sidewall of the mask. Deposited carbon on the sidewall during EUV exposure gradually varies mask CD and also induces the changes in the wafer printability and dose in the scanner. In addition, we compare the effects of carbon contamination between Si and Ru capped blank. Ru capped blank shows longer mask mean time between cleaning (MTBC) than Si capped blank by 25% in our experiments.
Carbon contamination of EUV mask: film characterization, impact on lithographic performance, and cleaning
Yasushi Nishiyama, Toshihisa Anazawa, Hiroaki Oizumi, et al.
The deposition characteristics of carbon film on EUV mask surface, the impact of carbon deposition on lithography performance, and cleaning of deposited carbon film on EUV mask are studied. The density of the carbon film was found to be nearly half of that of graphite by X-ray reflectivity measurement. The impact of carbon deposition on the lithography performance was simulated by SOLID-EUV. The CD variation by carbon deposition on the mask depends on the deposition profile on the absorber pattern. Intentionally created contaminated masks were treated by a cleaning process using atomic hydrogen. The cleaning efficiency and durability of film materials are discussed.
Accelerated lifetime metrology of EUV multilayer mirrors in hydrocarbon environments
The ability to predict the rate of reflectivity loss of capped multilayer mirrors (MLMs) under various conditions of ambient vacuum composition, intensity, and previous dose is crucial to solving the mirror lifetime problem in an EUV stepper. Previous measurements at NIST have shown that reflectivity loss of MLMs exposed under accelerated conditions of dose and pressure can be a very complicated function of these variables. The present work continues this effort and demonstrates that reflectivity loss does not scale linearly for accelerated exposure doses over the range of 0-350 J/mm2 either for partial pressures of MMA in the range 10-8-10-7 Torr or acetone in the range 10-7-10-6 Torr. We suggest that this nonlinear scaling may be the result of a varying damage rate as the surface of the growing contamination layer moves through the EUV standing wave created by exposure of any MLM to resonant radiation. To further investigate the potential influence of these resonance effects, we report new measurements showing large variations of the secondary electron yield as a function of thickness of carbon deposited on top of a MLM.
Mo/Si multilayers with enhanced TiO2- and RuO2-capping layers
The lifetime of Mo/Si multilayer-coated projection optics is one of the outstanding issues on the road of commercialization of extreme-ultraviolet lithography (EUVL). The application of Mo/Si multilayer optics in EUVL requires both sufficient radiation stability and also the highest possible normal-incidence reflectivity. A serious problem of conventional high-reflective Mo/Si multilayers capped by silicon is the considerable degradation of reflective properties due to carbonization and oxidation of the silicon surface layer under exposure by EUV radiation. In this study, we focus on titanium dioxide (TiO2) and ruthenium dioxide (RuO2) as promising capping layer materials for EUVL multilayer coatings. The multilayer designs as well as the deposition parameters of the Mo/Si systems with different capping layers were optimized in terms of maximum peak reflectivity at the wavelength of 13.5 nm and longterm stability under high-intensive irradiation. Optimized TiO2-capped Mo/Si multilayer mirrors with an initial reflectivity of 67.0% presented a reflectivity drop of 0.6% after an irradiation dose of 760 J/mm2. The reflectivity drop was explained by the partial oxidation of the silicon sub-layer. No reflectivity loss after similar irradiation dose was found for RuO2-capped Mo/Si multilayer mirrors having initial peak reflectivity of 66%. In this paper we present data on improved reflectivity of interface-engineered TiO2- and RuO2-capped Mo/Si multilayer mirrors due to the minimization of both interdiffusion processes inside the multilayer stack and absorption loss in the oxide layer. Reflectivities of 68.5% at the wavelength of 13.4 nm were achieved for both TiO2- and RuO2-capped Mo/Si multilayer mirrors.
EUV Imaging
icon_mobile_dropdown
Smoothing based fast model for images of isolated buried EUV multilayer defects
A new fast-CAD imaging model for buried extreme ultra violet (EUV) mask defects is presented that exploits the smoothing process used to mitigate buried EUV multilayer defects. Since the characteristics of the smoothing process dictate nearly identical surface shapes for all defects a single parameter, the peak height of the final profile, is sufficient to predict the projection printed image for an arbitrary buried defect. Data is presented on the effect of smoothing on the reflected field and final wafer image. The degree of similarity among defects with different initial heights, widths and shapes is explored. A compact algebraic model to predict the aerial image dip strength is developed that depends only on the surface height of the EUV mask blank. This model is then integrated into a standard perturbation model for defect feature interaction, and the importance of accounting for absorber features shadowing of buried defects is demonstrated.
Aberration budget in extreme ultraviolet lithography
It seems that the actual EUV lithography tools will have aberrations around ten times larger than those of the latest ArF lithography tools in wavelength normalized rms. We calculated the influence of aberrations on the size error and pattern shift error using Zernike sensitivity analysis. Mask-induced aberration restricts the specification of aberration. Without periodic additional pattern, the aberration to form 22 nm dual-gate patterns was below 8 mλ rms. Arranging the periodic additional pattern relaxed the aberration tolerance. With periodic additional pattern, the aberration to form 22 nm patterns was below 37 mλ rms. It is important to make pattern periodicity for the relaxation of the aberration specification.
EUV pattern shift compensation strategies
T. Schmoeller, T. Klimpel, I. Kim, et al.
EUV lithography is one of the hot candidates for the 22nm node. A well known phenomenon in EUV lithography is the impact of non-telecentricity and the mask topography on printing performance. Due to oblique illumination of the mask, layout, the printed features are shifted and biased on the wafer with respect to their target dimension up to several nanometers. This effect is inherent to EUV imaging systems. In order to maintain CDU, overlay and registration requirements, these effects need to be compensated for as part of the lithographic manufacturing process. Conventional compensation techniques, such as OPC compensation, significantly increase the complexity of the litho process. In this paper we discuss pattern shift, which is induced by mask-side non-telecentricity of the EUV ring field system. In particular, we show how the mask position relative to the focal plane of the projection system impacts pattern shift. It is shown that mask focus shift allows for a compensation of pattern shift, independent on angle of incidence, pattern type, pattern pitch, pattern orientation, and slit position. Thus it is seen that placement error is not an effect related to mask topography (not a shadowing effect) but arises purely from the mask non-telecentricity. A geometric interpretation of this effect is given and shown to be consistent with results of rigorous simulations. A method to simulate the shift of the mask focus position is briefly discussed. The mask focus shift for which the pattern shift vanishes in the aerial wafer image at best focus is determined using rigorous simulations. The amount of mask focus shift to compensate for the pattern shift is found to be approximately 136nm. This mask focus shift is then applied to investigate the through focus and dose behavior of the pattern shift in the resist. It is shown that the pattern shift is a function of wafer focus position and that this is a result of the image tilt in EUV systems. While the pattern shift is fully compensated at one wafer focus position, the shift at other positions is very small. The impact of the mask focus position on process window is investigated.
Study of system performance in SFET
Naosuke Nishimura, Gaku Takahashi, Toshihiko Tsuji, et al.
We shipped a small field exposure tool (SFET) to Selete (Semiconductor Leading Edge Technologies, Japanese Consortium) in 2006. The SFET was founded for the purpose of EUVL mask and resist development. We have been working on the exposure test and the tool evaluation in collaboration with Selete. In the development of the SFET, We have experienced to connect two types of light source to the SFET, LPP light source and DPP light source. And now we operate the SFET with DPP light source. On exchanging light source for DPP light source from LPP light source, we planed to apply the new illuminator unit optimized for DPP light source. The new illuminator unit of the SFET will improve dose uniformity on the imaging surface. We have installed the new illuminator unit of the SFET at Selete in 2007, and evaluated the effects of dose uniformity. In EUV lithography, the system performance reflects sensitively to the exposure results. We have been evaluating the SFET quantitatively on mainly sensitive factors, in the system performance, to exposure result. We try to take a correlation between the system performance and exposure results. In the system performance, the synchronization error between the wafer and mask stages is one of the main factors to exposure sensitivity. We continue to evaluate the relations between the system performance and the exposure results.
Effects of aberration and flare on lithographic performance of SFET
The effects of aberration and flare on the lithographic performance of the EUV small-field exposure tool (SFET) were evaluated. Simulation results indicated that the effect of aberration on the image contrast of line-and-space (L&S) patterns should be small. In exposure experiments, 26-45-nm L&S patterns were successfully fabricated under annular illumination (σ=0.3/0.7). A key factor limiting resolution should be resist performance. Simulation results also indicated that the astigmatic aberration could produce a focal shift of about 60 nm between horizontal and vertical L&S patterns. The experimentally obtained focus shift agreed well with the simulation results. Dense 32-45-nm contact-hole (C/H) patterns were also successfully fabricated under annular illumination (σ=0.3/0.5). Due to astigmatic aberration, the C/H patterns were deformed at defocused positions, but they were almost circular at the best focus position. The flare of the projection optics measured by the Kirk method was 11% over a flare range of 1-100 μm. The effects of the 11% flare were evaluated using dark- and bright-field 32-nm L&S patterns. It was found that the top loss and line-width roughness (LWR) of the resist were larger for bright-field than for dark-field patterns. To reduce the impact of flare, we need EUV resists that are more robust with regard to flare. A comparison of the measured point spread function (PSF) of the flare and the calculated PSF revealed good agreement for long-range flare but some difference for short-range flare.
EUV Resists: Joint Session with Conference 6923
icon_mobile_dropdown
Resolution, LER, and sensitivity limitations of photoresists
Gregg M. Gallatin, Patrick Naulleau, Dimitra Niakoula, et al.
Recent experimental results and modeling both indicate that whereas it is possible to optimize a photoresist and process to achieve separately a desired resolution or line edge roughness or sensitivity, it will be difficult if not impossible to achieve all three simultaneously using current standard chemically amplified photoresists and processes. This tradeoff among Resolution, Line Edge Roughness (LER) and Sensitivity is termed the RLS tradeoff. Here we review the progress to date of a SEMATECH-funded program to develop an experimentally verified model of the relationship among resolution, LER and sensitivity and use it to determine approaches for "breaking" the RLS tradeoff.
Evaluation of EUV resist materials for use at the 32 nm half-pitch node
The 2007 International Technology Roadmap for Semiconductors (ITRS)1 specifies Extreme Ultraviolet (EUV) lithography as one leading technology option for the 32nm half-pitch node, and significant world wide effort is being focused towards this goal. Readiness of EUV photoresists is one of the risk areas. In 2007, the ITRS modified performance targets for high-volume manufacturing EUV resists to better reflect fundamental resist materials challenges. For 32nm half-pitch patterning at EUV, a photospeed range from 5-30 mJ/cm2 and low-frequency linewidth roughness target of 1.7nm (3σ) have been specified. Towards this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany evaluated a broad range of EUV photoresists using the EUV MET at Lawrence Berkeley National Laboratories (LBNL), and the EUV interferometer at the Paul Scherrer Institut (PSI), Switzerland. Program goals targeted resist performance for 32nm and 22nm groundrule development activities, and included interim relaxation of ITRS resist performance targets. This presentation will give an updated review of the results. Progress is evident in all areas of EUV resist patterning, particularly contact/via and ultrathin resist film performance. We also describe a simplified figure-of-merit approach useful for more quantitative assessment of the strengths and weaknesses of current materials.
Extreme ultraviolet resist outgassing and its effect on nearby optics
Gregory Denbeaux, Rashi Garg, Chimaobi Mbanaso, et al.
Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species and tests show little measurable effect from resist components on optics contamination with modest EUV exposure doses.
Quantitative measurement of outgas products from EUV photoresists
C. Tarrio, B. A. Benner, R. E. Vest, et al.
The photon-stimulated emission of organic molecules from the photoresist during exposure is a serious problem for extreme-ultraviolet lithography (EUVL) because the adsorption of the outgassing products on the EUV optics can lead to carbonization and subsequent reflectivity loss. In order to accurately quantify the total amount of outgassing for a given resist during an exposure, we have constructed a compact, portable chamber that is instrumented with a spinning rotor gauge and a capacitance diaphragm gauge that, unlike the more commonly used ionization gauge or quadrupole mass spectrometer, provides a direct and accurate measurement of the total pressure that is largely independent of the composition of the outgas products. We have also developed a method to perform compositional analysis on the outgas products and, more generally, on any contaminants that might be present in the stepper vacuum. The method involves collecting the vacuum contaminants in a trap cooled to liquid-nitrogen temperature. Once collected, the products from the trap are transferred to a system for analysis with gas chromatography with mass spectrometry. We will describe the workings of the instruments in detail as well as results of initial tests.
Film quantum yields of EUV and ultra-high PAG photoresists
Elsayed Hassanein, Craig Higgins, Patrick Naulleau, et al.
Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV- 2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.
Nanoimprint III
icon_mobile_dropdown
Etching of 42 nm and 32 nm half-pitch features patterned using step and Flash imprint lithography
Cynthia B. Brooks, Dwayne L. LaBrake, Niyaz Khusnatdinov
In this work, the authors demonstrate the suitability of Step and Flash® Imprint Lithography (S-FIL®) materials as a mask for patterning 42 nm and 32 nm half pitch features into a hardmask material. We present a zero etch-bias process with good silicon oxide to imprint resist selectivity and excellent line-width roughness (LWR) control. We demonstrate the required etch processes and mean value and uniformity of the residual layer thickness (RLT) necessary to maintain cross wafer CD uniformity for 42 nm and 32 nm half pitch dense lines. Finally, the authors present a mechanism for targeting the critical dimension by control of the imprint resist volume.
High resolution defect inspection of step and flash imprint lithography for 32 nm half-pitch patterning
Imprint lithography has been shown to be an effective method for the replication of nanometer-scale structures from an imprint mask (template) or mold. Step and Flash Imprint Lithography (S-FIL®) is unique in its ability to address both resolution and alignment. Recently overlay across a 200 mm wafer of less than 20nm, 3σ has been demonstrated. Current S-FIL resolution and alignment performance motivates the consideration of nano-imprint lithography as a Next Generation Lithography (NGL) solution for IC production. During the S-FIL process, a transferable image, an imprint, is produced by mechanically molding a liquid UV-curable resist on a wafer. Acceptance of imprint lithography for CMOS manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This report summarizes the result of defect inspections of wafers patterned using S-FIL. Wafer inspections were performed with KLA Tencor- 2132 (KT-2132) and KLA Tencor eS23 (KT-eS32) automated patterned wafer inspection tools. Imprint specific defectivity was shown to be ≤3 cm-2 with some wafers having defectivity of less than 1 cm-2 and many fields having 0 imprint specific defects, as measured with the KT-2132. KT eS32 inspection of 32 nm half pitch features indicated that the random defectivity resulting from the imprint process was low.
Porosity characteristics of ultra-low dielectric insulator films directly patterned by nano-imprint lithography
Hyun Wook Ro, Ronald L. Jones, Huagen Peng, et al.
Direct patterning of low-dielectric constant (low-k) materials via nanoimprint lithography (NIL) has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. We report direct imprinting of sub-100 nm features into a high modulus methylsilsesquioxane-based organosilicate glass (OSG) material. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering (CD-SAXS) and specular X-ray reflectivity (SXR). X-ray porosimetry (XRP) and positron annihilation lifetime spectroscopy (PALS) measurements indicate that imprinting increases the inherent microporosity of the methylsilsequioxane-based OSG material. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.
A method for fabricating below 22nm feature patterns in quartz mold
In nanoimprint with UV curable resin, a mold fabricated by etching quartz by using Cr as a hard mask is generally used. For fine pattern fabrication, Cr fine pattern etching technology is very important. Usually, the realization of enough resolution needs a resist thickness reduction, which, however, makes it difficult to etch extremely fine Cr features with vertical sidewalls. Here, we propose a brand-new method called "reverse EB process". In this method, an electron-beam (EB) resist pattern is first reversed by a plasma-robust material, with which as a mask, the Cr is then etched to form a hard mask for the mold etching. By this process, we have realized a quartz mold with patterns of 16nm in half pitch at minimum.
Parallel E-Beam Systems
icon_mobile_dropdown
Projection maskless lithography (PML2): proof-of-concept setup and first experimental results
C. Klein, E. Platzgummer, H. Loeschner, et al.
Projection Mask-Less Lithography (PML2) is a potentially cost-effective multi electron-beam solution for the 32nm-node and beyond. PML2 is targeted on using hundreds of thousands of individually addressable electron-beams working in parallel, thereby pushing the potential throughput into the wafers per hour regime. With resolution limits <10nm, PML2 is designed to meet the requirements of several upcoming tool generations. A PML2 proof-of-concept setup was realized within the framework of the European RIMANA project. It contains all crucial components of a full-fledged PML2 tool and unambiguously demonstrates the operability of multi electron-beam projection optics with 200x reduction. In the PML2 proof-of-concept system more than 2000 switchable beams are generated by a programmable aperture plate system (APS) and projected onto wafer level with 200x demagnification. Current density (~2 A/cm2) and total current (~10 pA) of each beam are the same as in future PML2 tools, resulting in a calculated base resolution below 10nm. The PML2 proof-of-concept column has been successfully tested using resolution templates, verifying 200x reduction and the predicted 22nm hp resolution capability. Furthermore, first custom designed 32nm hp structures were printed into resist coated Si wafers using an APS test-unit. Based on the inputs obtained by the PML2 proof-of-concept system and detailed electron-optical calculations, a fully industry-compatible PML2 Alpha-tool will be realized within the European MAGIC project. Together with the infrastructure developed within MAGIC, this PML2 Alpha-tool promises to herald the introduction of mask-less lithography into the industrial environment.
MAPPER: high throughput maskless lithography
E. Slot, M. J. Wieland, G. de Boer, et al.
MAPPER Lithography is developing a maskless lithography technology. The technology combines massively-parallel electron-beam writing with high speed optical data transport used in the telecommunication industry. The electron optics generates 13,000 electron beams that are focused on the wafer by electrostatic lens arrays which are manufactured by using MEMS manufacturing techniques. Each beam has its own optical column to avoid a central cross-over. This secures high throughput (> 10 wafers per hour) at high resolution (< 45 nm half pitch). The 13,000 e-beams are generated by splitting up a single electron beam that originates from a single electron source and are finally accelerated to 5 kV to expose the resist on the wafer. The e-beams are arranged in such a way that they form a rectangular slit with a width of 26 mm, the same width of a field in an optical stepper. During exposure the e-beams are deflected over 2 μm perpendicular to the wafer stage movement. This means that with one scan of the wafer a full field of 26 mm x 33 mm can be exposed. During the simultaneous scanning of the wafer and deflection of the electron beams the beams are switched on and off by 13,000 light signals, one for each e-beam. The light beams are generated in a data system that contains the chip patterns in a bitmap format. This bitmap is divided over 13,000 data channels and streamed to the ebeams at 1-10 GHz. This paper will explain the design drivers behind the system and provide more detail on the current design. Finally, results of our technology Demonstrator are presented, showing the viability of MAPPER's concept.
Patterning fidelity on low-energy multiple-electron-beam direct write lithography
S. M. Chang, S. J. Lin, C. A. Lin, et al.
The Multiple E-beam Direct Write (MEBDW) technology has been considered a promising solution for the next generation lithography to delineate 32-nm half-pitch and beyond. A low-energy, say 5 keV, e-beam direct writing system has advantages in lower exposure dosage, less heating effect on resist, and less damage to devices underneath, comparing with a high energy one, such as 50 keV or 100 keV. However, the low-energy electron-beam is easily blurred due to forward scattering in the substrate due to its shallow penetration and hence loses resolution. In this paper, variables affecting patterning fidelity of a raster-scan MEBDW system are investigated. In order to realize a MEBDW system with acceptable throughput, a relatively large beam size is chosen for sufficient beam current to sustain throughput while maintaining enough resolution. The imaging resolution loss and the proximity effect, due to beam blurring through the resist, have been observed. The in-house software MOSES, incorporating the Monte Carlo simulation and the Double Gaussian model was used to evaluate 1-D and 2-D pattern fidelity with various exposure conditions. The line width roughness, which represents 1-D fidelity, was evaluated on 32-nm dense lines. Pattern fidelity of 2-D features such as the zigzag poly line and dense metal patterns was also examined. The impact to LWR of using the edge dithering method, instead of dosage modulation, to control the line width accuracy beyond the pixel size was studied.
EBDW II
icon_mobile_dropdown
MAGIC: a European program to push the insertion of maskless lithography
L. Pain, B. Icard, S. Tedesco, et al.
With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.
High throughput maskless lithography: low voltage versus high voltage
S. W. H. K. Steenbrink, B. J. Kampherbeek, M. J. Wieland, et al.
The beam energy is a driving design parameter for electron beam lithography systems. To be able to compare the differences of low kV (5 kV) and high kV (100 kV) for a high-throughput system the limitations of both types of systems are evaluated. First the effect on the CD uniformity and throughput is analyzed. For any shot noise limited system the dose that is needed to obtain a required CD uniformity can be calculated. This dose depends on the total spot size and the efficiency of the electrons in the resist. For a smaller spot less dose is required than for a large spot. The current in a single beam is also determined by the spot size. A larger spot has more current. With these parameters an optimization of the required dose, spot size and single beam current can be made. It is found that although for high kV it is easier to create a small spot with a high current the low resist-exposure efficiency of the high-energy electrons limits the throughput, because the required dose is large. It is also found that for 10 wafers per hour multiple lenses or columns are required. For practical reasons (a high kV lens cannot be made as small as a low kV lens) there is a clear preference for the use of low energy in high-throughput systems. Another aspect that is crucial in the lithography process is the overlay. One of the main differences between high and low energy systems is the power that is dissipated in the wafer and the resulting error due to expansion. It is found that for both energies wafer heating is an issue, but for low kV there seem to be solutions, while for high kV the problem is 30 times bigger.
Shot minimization for throughput improvement of character projection electron beam direct writing
Hai Pham Dinh Minh, Tetsuya Iizuka, Makoto Ikeda, et al.
We propose an electron beam (EB) shot minimization method for character projection electron beam direct writing (CP-EBDW) targeting metal layer patterns based on Integer Linear Programming (ILP). We have evaluated the proposed method with a commercial tool and demonstrated 20% shot reduction. We have also demonstrated that the runtime of the proposed EB shot minimization method grows exponentially against the number of matches. For this reason, we have suggested heuristic solutions to find the number of EB shots within a reasonable amount of time by means of segmentation of layout, limitation of runtime and setting of "relative tolerance gap parameter" in 0-1 ILP. The heuristic solutions have been proved to be effective when compared with the commercial tool for the same layout.
Shaped beam technique using a novel 3rd-order imaging approach
Tadashi Kotsugi, Takashi Fuse, Hidetoshi Kinoshita, et al.
We investigate a new technique for high current density beam formation called 3rd order imaging. This technique has two advantages: 1) increasing the beam current without beam blurring, and 2) producing a desired beam shape, such as a square or rectangle. Thus, it can significantly decrease writing times in Electron Beam Direct Writing (EBDW). These advantages are realized by using a patterned beam-defining aperture (PBDA) whose patterned openings work with the spherical aberration in the objective lens to generate the final beam shape. The PBDA transmits rays if they fall within the desired shape at the wafer, while blocking rays which would fall outside the desired shape. We have obtained beam line profiles and two-dimensional beam shapes experimentally. The 3rd-order imaging beam current density is seven times larger than that of a beam shaped by the conventional aperture. The experimental beam profile and the calculated result are in good agreement. The experimental two-dimensional shapes reproduce the calculated beam shapes, thereby verifying the theory of 3rd-order imaging. This technique is a potential solution to break through the technological impasse of high current density versus high resolution.
EUV Mask
icon_mobile_dropdown
Dependence of EUV mask printing performance on blank architecture
Rik Jonckheere, Yoonsuk Hyun, Fumio Iwamoto, et al.
EUV lithography is the leading candidate for sub-32nm half-pitch device manufacturing. This paper deals with the investigation of the impact of the mask blank architecture on the wafer print by EUV lithography. Presently the material stack on the mask is not fixed and different suppliers offer a range of variation. The purpose of the present paper is threefold, as detailed hereafter. First it is shown that there are possibilities to make EUV masks less prone to reflectivity loss by carbon contamination. An estimate is given for the required limitations on mask contamination and fabrication tolerance to keep the imaging impact below acceptable levels. These data can be used as preliminary error budgets for the individual and combined capping layer deterioration phenomena. Further-on, printing results on the Alpha Demo Tool (ADT) are reported, obtained with different reticles with identical layout produced on blanks with different mask stacks. In preparation for this experimental work simulations have been undertaken. The experimental results show good agreement in printing performance between the reticles tested. Finally, our work clearly shows the opportunity to reduce the absorber thickness without noticeable loss of contrast and with the big advantage of shadowing effect reduction.
Ion beam deposition for defect-free EUVL mask blanks
Patrick Kearney, C. C. Lin, Takashi Sugiyama, et al.
The availability of defect-free mask blanks is one of the most significant challenges facing the commercialization of extreme ultraviolet lithography (EUVL). The SEMATECH Mask Blank Development Center (MBDC) was created to drive the development of EUVL mask blanks to meet the industry's needs. EUV mask defects come from two primary sources: the incoming mask substrate and defects added during multilayer deposition. For incoming defects, we have both an in-house advanced cleaning capability and an advanced in situ defect smoothing capability. This smoothing system utilizes combinations of ion beam deposition and etch to planarize any remaining incoming substrate defects. For defects added in the multilayer deposition process, we have an aggressive program to find, identify, and eliminate the defects. This paper summarizes progress in smoothing substrate defects and eliminating ever smaller multilayer-added defects. We will show the capability of our smoothing process to planarize our existing population of bump and pit type defects and discuss how quickly this can be done. We will also discuss how many defects are added by the planarization process. In addition, we will show 54 nm sensitivity defect data for multilayer-coated EUV mask blanks.
Determining the critical size of EUV mask substrate defects
Hakseung Han, Wonil Cho, Kenneth A. Goldberg, et al.
Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure tool. SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images from exposed wafers. In this paper, a comprehensive understanding of substrate defect printability will be presented and printability specifications of EUV mask substrate defects will be discussed.
Status of EUV reticle handling solution in meeting 32 nm HP EUV lithography
Significant progress has been made over the past several years in developing extreme ultraviolet (EUV) mask infrastructure, especially in EUV reticle handling and protection. Today, the industry has converged to standardize the dual pod reticle carrier approach in developing EUV reticle handling solutions. SEMATECH has already established reticle handling infrastructure compliant with industry's draft standard, including carrier, robotic carrier handling, automated carrier cleaning, vacuum protection, and state-of-the-art particulate contamination testing capabilities. It proves to be one of the key enablers in developing EUV reticle protection solutions, through broad collaboration with industry stakeholders and suppliers. In this paper, we discuss our in-house reticle handling infrastructure and provide insights on how to apply it in EUV lithography pilot line development and future production line. We present particulate contamination free baseline results of state-of-the-art EUV reticle carriers, i.e., sPod, throughout lifecycle uses. We will also compare the results against requirements for 32 nm half-pitch (HP) EUV lithography, to identify the remaining challenges ahead of the industry.
Sub 50 nm cleaning-induced damage in EUV mask blanks
Defects are still one of the main challenges of extreme ultraviolet (EUV) mask blanks. In particular, a majority(~75%) of substrate defects are nanometer size pits. These pits are usually created during final surface polishing of the synthetic, quartz glass substrates. This study presents data that indicates cleaning may also induce pits in the substrate surface. These pits are typically 20 nm and larger, and are contained in a circular area on the surface, which is scanned by a megasonic nozzle during cleaning. Concentrated collapse of cavitation bubbles in the areas scanned by megasonic is expected to be one of the main mechanisms of pit creation. The data indicates the existence of a hard surface layer with an estimated thickness of approximately 30 to 60 nm, which is resistive to pit creation. After this layer is removed, the number of pit defects present on the substrate increases dramatically with megasonic cleaning. It is also demonstrated that, within the detection limits of the atomic force microscope (AFM), the size of a pit does not change due to cleaning.
EUV Metrology
icon_mobile_dropdown
EUV source development at Energetiq
Paul A. Blackborow, Matthew J. Partlow, Stephen F. Horne, et al.
As industry advances towards the insertion of EUVL technology, researchers and manufacturers armed with alpha EUV light sources invent an expanding array of potential applications utilizing these sources. This in turn drives development of the light sources to fulfill the large field of specific needs in resist exposure, mirror testing, wafer inspection, etc., which call for a greater variety of source parameters, including output power, source size, and stability. The EQ-10 is a commercially available, medium-power (10 W/2π, 13.5nm ±1%, Xenon) electrodeless Z-pinch light source. Significant field experience and customer feedback has been accumulated from sources already in operation in multiple locations. In response, a development program is under way to re-engineer and optimize the EQ-10 for a variety of applications. Data will be presented on the effect of varying source geometry, frequency, and input power on pinch performance. We have observed a sustained integrated output power of over 15 Watts. The plasma size can be varied to suit customer applications. A related program on beamline design and optimization is also underway, focused on debris mitigation while also maintaining the efficiency of EUV power delivery. Initial results from this program will be summarized.
EUV mask inspection tool using high NA DUV inspection tool
A new inspection system with DUV laser beam and high NA optic for EUV mask has been developed to inspect defects on EUV blank mask and defects by process and handling. The development of new reflective image and optics has increased inspection speed on EUV mask before absorber etch and after absorber etch. Defect classification and operation has increased the productivity of inspection and particle control on EUV mask process. With this new inspection system, defects on blank mask, after resist develop and after etch processed mask were classified and evaluated to install EUV mask process. And defect sensitivities according to various pattern size and process steps were evaluated with required defect size of simulated printing effect on wafer. Designed defect pattern of 46nm node were prepared. Blank masks from Hoya were used. Patterns were exposed using 50KeV electron beam writer. After resist develop, patterns with program defect were inspected. After absorber etching, defects were inspected and evaluated. According to sub film, inspection condition was optimized. Using simulation tool, defects printability were simulated and compared with sensitivity of this inspection tool. Our results demonstrate that this inspection tool is very effective to detect and identify defects and their sources on EUV mask process. In this paper, mask inspection performance of high NA, DUV optic with short working distance was evaluated and described on programmed EUV mask.
Directed Self Assembly
icon_mobile_dropdown
NIL mold manufacturing using self-organized diblock copolymer as patterning template
Naoko Kihara, Hiroyuki Hieda, Katsuyuki Naito
A low-cost fabrication method combining self-organized lithography and nanoimprint is proposed as a possible solution for patterned media production for the memory density beyond 1 Tbpsi. For that purpose, imprint mold equipped with 30-nm-pitch pillar pattern was formed on a Si substrate using diblock copolymer template. Room-temperature imprint and ion milling were applied to avoid thermal and chemical damage to magnetic film during a patterning process. The obtained aspect ratio of the relief by room-temperature imprint was enhanced via pattern-inverse process. After ionmilling treatment, 30-nm-pitch magnetic dot array with 20 nm height was observed. A nickel replica mold was formed by electroforming applying the Si mold as an original master. These results indicate the possibility that nanoimprinting is a practical method for 1 Tbpsi patterned media production.
Integration of polymer self-assembly for lithographic application
Directed polymer self-assembly which combines lithographically defined substrates and self-assembled polymers has been considered as a potential candidate to extend conventional patterning techniques. In the past few years, successful demonstration of directed self-assembly of block copolymer shows that this method can afford sub-lithographic resolution or enhances dimensional control. However, integration of polymer self-assembly into standard lithographic processes remains a challenge and requires new materials. In this paper, we demonstrate robust and thermally crosslinked underlayer materials which control the orientation of block copolymer assemblies and are compatible with standard lithographic processes. These new materials allow simple integration of perpendicularly oriented polystyrene-b- polymethylmethacrylate (PS-b-PMMA) domains into standard manufacturing processes.
Rapid directed self-assembly of Lamellar microdomains from a block copolymer containing hybrid
Material properties and directed self-assembly of a block copolymer containing hybrid material are presented in this paper. The hybrid material, which is a mixture of poly(styrene-b-ethylene oxide) (PS-b-PEO) and organosilicate (OS), shows morphologies of microdomains similar to those of organic diblock copolymers depending on the fraction of each phase, i.e. PS and PEO+OS. This material system shows very strong segregation between phases, which provides well defined microdomains in thin films even right after spin coating. The strong segregation also makes it possible to generate microdomains of sub-10 nm length scale regime. The hybrid is found to be directed self-assembly (DSA)- friendly, thus typical topographic and/or chemical guiding patterns can be used for DSA of the hybrid.
Device-oriented directed self-assembly of Lamellar microdomains from a block copolymer containing hybrid
Directed self-assembly (DSA) of a block copolymer containing hybrid material using topographic guiding patterns is presented in this paper. Lamellar microdomains of the hybrid material, which is a mixture of poly(styrene-b-ethylene oxide) (PS-b-PEO) and organosilicate (OS) precursor, have orientational correlation length about five times longer than typical organic block copolymers such as poly(styrene-b-methyl methacrylate) (PS-b-PMMA). The longer correlation length (i.e. bigger grain size) makes it possible to align the lamellar microdomain into geometries similar to device layouts. We report one-dimensional assembly of lamellar microdomains on substrates, which gives crossbar and multifinger nanostructures.
New Patterning Technologies
icon_mobile_dropdown
Nano patterning with a single high-transmission nano-metal aperture system
We design a C-shaped aperture which overcomes the diffraction limit of light to produce a high-brightness nano-size light spot. For optical nano lithography, we construct a nano patterning system using an optical probe which adopts a solid immersion lens (SIL), the 120 nm thickness aluminum film on the bottom surface of the SIL and the C-shaped aperture engraved in the metal film. Light source is a diode laser of 405nm wavelength to expose h-line photoresist(PR). A linear stage holding the optical probe makes the nano aperture contact with the PR coated on silicon wafer. Using this patterning system, we obtain sub 100nm array patterns and measure the system performance in various exposure conditions to verify the feasibility of plasmonic lithography.
Etch-less UV-NIL process for patterning photonic crystal structure onto OLED substrate
Jun-ho Jeong, Sohee Jeon, Jongyoup Shim, et al.
An etch-less ultraviolet nanoimprint lithography (UV-NIL) process is proposed for patterning a photonic crystal (PC) structure onto an organic light-emitting diode (OLED) substrate. In a conventional UV-NIL, anisotropic etching is used to remove the residual layers and to transfer the patterns onto the substrate. The proposed process does not require an etching process. In the process, a stamp with nano-scale PC patterns is pressed on the dispensed resin and UV light is then exposed to cure the resin. After tens of seconds, the stamp is separated from the patterned polymer layer on the substrate. Finally, high-refractive index material is coated onto the layer. The refractive index of the polymer should be very similar to that of glass. The enhancement of the light extraction was assessed by the three-dimensional (3D) finite difference time domain (FDTD) method. The OLED was integrated on a nanoimprinted substrate and the electro-luminance intensity was found to have increased by as much as 50% compared to a conventional device.
A photolithographic process for grossly nonplanar substrates
Gavin L. Williams, Richard P. McWilliam, Jesus Toriz-Garcia, et al.
We describe a technique whereby photolithography has been extended to the patterning of near micron-scale features onto grossly non-planar substrates. Examples will be given of track widths down to ten microns patterned over surfaces with vertical dimensions in excess of one centimetre - far outside the normal bounds of photolithography. The technique enables many novel microsystem packaging schemes and provides an alternative to the direct-write methods that are traditionally employed for patterning non-planar surfaces. The technique is based on the computation of the phase/amplitude distribution on the mask that, when illuminated with light of sufficient spatial coherence, will recreate the desired non-planar light distribution. This has some similarities to existing RET and inverse lithography techniques, but is extended to grossly non-planar surfaces. Exposure of an electrophoretic photoresist-coated substrate to the light field created by the mask enables the non-planar pattern to be transferred to the substrate. The holographic mask contains localized Fresnel patterns. We discuss the analytical methods used for their computation, the approximations necessary to enable mask manufacture and the effects of these approximations on image quality. We also discuss more general numerical methods of mask computation.
Submicron patterning on flexible substrates by reduction optical lithography
Wim J. M. de Laat, Mária Péter, François Furthner, et al.
In this paper we report the use of projection optical lithography to pattern micron-sized features on 100 μm thick PEN foils. A foil-on-carrier lamination process was developed to ensure a good dimensional stability during the lithographic processing and imaging of the foil. A stepper based leveling metrology was used in characterizing the surface flatness of the foil-on-carriers. A lithography process was developed to image micron and submicron patterns on foil substrates. The process window for 1-10 μm features was determined from focus and exposure energy experiments. The lithographic study indicated a reproducible and excellent imaging accuracy for patterning micron-sized features on flexible substrates. This makes the technology very suitable for the manufacturing of electronic devices with critical dimensions in the micron and submicron range. In addition, we made transistors-on-foil demonstrators with the developed foil-on-carrier lamination and imaging technology.
Poster Session: Nanoimprint
icon_mobile_dropdown
Integration issues in step and repeat UV nanoimprint lithography
C. Charpin-Nicolle, M. Irmscher, M. Pritschow, et al.
Nanoimprint Lithography appears to be a competitive candidate for Next Generation Lithography in semiconductor industry due to its advantages concerning resolution and cost effectiveness. Moreover, UV-Nanoimprint Lithography (UV-NIL) should enable to reach good overlay values, which is also a major criterion for integration. In this paper, we present first integration results which were obtained on lines and contact holes. A specific template was designed for this purpose in collaboration with CEA-LETI, IMS and Molecular Imprints. This template was characterized by using various techniques (optical and SEM techniques) and showed quite a good quality of the template; in particular, 50 nm holes were defined. Molecular Imprints process was then tested by using Imprio55® at MESA+ Research Institute, University of Twente (Netherlands). In these experiments, 37 4.8 cm2 fields were imprinted on Double Side Polished wafers. For each field, 52 droplets were dispensed with various volumes. Resolution and non-uniformity were evaluated after imprinting. Then etching tests were performed.
Poster Session: EBDW
icon_mobile_dropdown
Simulation of robustness of a new e-beam column with the 3rd-order imaging technique
K. Takeya, T. Fuse, H. Kinoshita, et al.
We are now investigating a new concept column with the 3rd-order imaging technique, in order to obtain fine resolution and high current density beams for electron beam direct writing (EBDW) suitable for below 32nm technology nodes. From the first experimental verification, it is found that the 3rd-order imaging has a benefit of increasing the beam current compared with conventional Gaussian beam without any beam blurring. However, in order to realize such a column which can work stably in the sub 32nm technology node generations, it is important to clarify how robust the 3rd-order imaging is against the mechanical tolerances in column manufacturing. This paper describes the tolerance analysis for errors of column manufacturing by simulation. The column has an electron gun with small virtual source and two (Gun and Main) lenses. A patterned beam defining aperture, which enables the 3rd-order imaging, is set between the 1st and the 2nd lenses. The influences of errors such as concentricity, offset and tilt between optical parts on the beam shape, beam current density distribution, and beam edge acuity on a wafer is analyzed for this column. According to these results, the 3rd-order imaging appears to have sufficiently large allowance compared to the error budget for column manufacturing required in the sub 32nm technology node patterning.
Applying photolithography-friendly design to e-beam direct writing in 65-nm node and beyond
Hiromi Hoshino, Kozo Ogino, Yasuhide Machida, et al.
It is commonly known that maskless lithography is the most effective technology to reduce costs and shorten the time need for recent photo-mask making techniques. In mass production, however, lithography using photo-masks is used because that method has high productivity. Therefore a solution is to use maskless lithography to make prototypes and use optical lithography for volume production. On the other hand, using an exposure technology that is different from that used for mass production causes different physical phenomena to occur in the lithography process, and different images are formed. These differences have an effect on the characteristics of the semiconductor device being made. An issue arises because the chip characteristics are different for the sample chip and the final chip of the same product. This issue also requires other processes to be changed besides switching to the lithography process. In our previous paper, we reported on new developments in an electron-beam exposure data-generating system for making printed images of a different exposure source correspond to each other in lithographic printing systems, which are electron beam lithography and photolithography. In this paper, we discuss whether the feasibility of this methodology has been demonstrated for use in a production environment. Patterns which are generated with our method are complicated. To apply the method to a production environment we needed a breakthrough, and we overcame some difficult issues.
Poster Session: DSA and New Patterning Technologies
icon_mobile_dropdown
Diblock copolymer directed self-assembly for CMOS device fabrication
Li-Wen Chang, Marissa A. Caldwell, H.-S. Philip Wong
We present our work on using one level of templated self-assembly using 70:30::PS-b-PMMA. Focus is on the fabrication of top-gated silicon MOSFETs and simple circuits. The potential choice as a first demonstration is to use 70:30::PS-b-PMMA to define the contact holes of MOSFETs. Contact holes make a good starting point because of both their size and repetition in view of today's design. We have presented our work of solving half-hole problem when adopting templated selfassembly. Results of transferring the self-assembled holes from the polymer mask to a silicon dioxide layer by plasma etching and filling the etched holes in silicon dioxide with aspect ratio of approximately one to three are presented. Potential integration issues for making MOSFETs will also be addressed.
An ultra-narrow FinFET poly-Si gate structure fabricated with 193nm photolithography and in-situ PR/BARC and TEOS hard mask etching
Wen-Shiang Liao, Cheng-Han Wu, Mao-Chyuan Tang, et al.
A vertical double gate MOSFET (FinFET) device with an ultra-small poly-Si gate of 30nm and promising device performances has been successfully developed after integrating a 14Å nitrided gate oxide on silicon-on-insulator (SOI) wafers. First, a 500Å-thick TEOS capping oxide layer was deposited upon a 1000Å-thick poly-Si gate layer. Second, both 1050Å-thick bottom anti-reflective coating (BARC) and 2650Å-thick photoresist (PR) were coated. A deep ultra-violet (DUV) 193nm wavelength ASML scanner lithography tool was used for the ultra-small poly-Si layout patterning under high energy exposure. After an organic-based trimming down plasma etching of both PR and BARC, the TEOS capping oxide layer was plasma etched in another oxide-based etching chambers without breaking the plasma etcher's loadlock vacuum. Then, without removing the already plasma patterned and trim-downed PR and BARC, an in-situ PR/BARC and TEOS hard mask etching was rendered for the final 1000Å-thick poly-Si gate electrode. The poly-Si etching can be automatically stopped by setting the over-time etching mode to a few seconds after detecting the endpoint signal of the bottom buried oxide (BOX) insulating layer. Finally, after PR and BARC plasma as well as additional wet cleaning, an ultra-narrow poly-Si gate electrode, i.e., after etching inspection (AEI) of 30nm, with its capping TEOS hard mask was successfully fabricated.
Diffraction feature of microlens array with a small aperture size
With the development of a CMOS Image Sensor (CIS), the pixel size of a CIS is continuously decreasing. It makes the photo diode smaller and smaller in the unit pixel and, as a result, the sensitivity is reduced at the same time. A micro lens (ML) is added to improve the sensitivity of CIS. The size of ML is reduced with the pixel size and it almost arrived at the limitation of the size which is governed by diffraction. In this paper, we simulate the diffraction feature of a ML with an electro-magnetic wave simulation. The efficiency and the crosstalk of some ML which have a size near the diffraction limit are calculated and compared. From the simulation result, we suggest a guide line of the ML design.
Poster Session: EUV System
icon_mobile_dropdown
Scattering of EUV optics: substrate, coating, and degradation effects
Scattering resulting from interface imperfections crucially affects the throughput and image contrast of EUV optics. Since both the substrate finish and the intrinsic thin film roughness influence the scattering, thorough investigations are needed to separate the different effects and to identify the most promising starting points for further optimizations. Mo/Si multilayer coatings deposited onto different substrates are investigated by utilizing an instrument for EUV reflectance and scattering measurements at 13.5 nm recently developed at the Fraunhofer IOF. The influences of the substrate finish and the deposition process onto the scattering are separated. Furthermore, the instrument allowed the EUV-induced degradation of Mo/Si mirrors to be investigated at the wavelength of application. In particular the impact of top-layer oxidation and roughening on the scattering properties is discussed.
Progress in extreme ultraviolet interferometric lithography at the University of Wisconsin
Extreme Ultraviolet Interferometric lithography (EUV-IL) can generate periodic patterns useful to characterize photoresist materials and to create templates for self-assembled geometries. The Center for NanoTechnology has developed a novel EUV-IL beamline dedicated to nanopatterning using radiation from an undulator on the Aladdin storage ring at the University of Wisconsin-Madison. The beamline and the EUV-IL system were commissioned in 2006; we have completed several characterization studies, and modified several key components to improve resolution and usability. The EUV-IL system can expose different pitches at the same time producing patterns with a range of halfpitch from 55nm down to 20nm and less on the wafer. We can also introduce a variable image modulation by performing double exposures, overlapping the interference pattern with the transmitted zero order. Recently we have demonstrated down to 20nm half-pitch printed IL image in PMMA resist.
Advanced diffuser technology helps reduce vent-up times while maintaining wafer integrity on vacuum tools loadlock chambers
Chris Vroman, Chris Quartaro, Marshall Randolph
Wafer throughput and particle counts are key metrics for any semiconductor manufacturer's yield enhancement programs. Recent advancements in diffuser technology have helped manufacturers enhance these metrics while improving the attributes for most vacuum processes. These processes include dry etch, chemical vapor deposition (CVD), physical vapor deposition (PVD), rapid thermal processing (RTP) and Epitaxial deposition (Epi). Execution of membrane diffuser technology dramatically decreases required vent time and has become a highly effective tool upgrade option. An early implementation of this technology was used on 200mm batch-style loadlocks that had an inherently large internal volume. The loadlock was prone to long vent cycles to prevent particle contamination. As the industry transitioned to a 300mm wafer platform, factories increased their development of single-wafer loadlocks (SWLL) in an effort to boost tool throughput. Gas diffusers with ultra fine filtration membranes solved these issues. Compared to the 200mm batch-style loadlocks, the SWLLs had extremely low internal volumes and were designed to cycle vacuum to atmosphere very quickly. With the low volumes inherent in the SWLL, the velocity of the incoming vent gas became critical, since any particles on the bottom of the loadlock chamber would easily sweep onto the wafer should they be hit with a high velocity gas. Particles are typically present in the loadlock due to mechanical wafer handling devices and environmental exposure. Gas diffusers allowed a large, uniform volumetric flowrate of gas into the loadlock chamber at low downstream gas velocities. While now standard on most 300mm loadlocks, the majority of 200mm tools in the field do not utilize membrane diffusers. Typically a screen, frit and/or soft vent procedure is used to control the flow into the loadlock. However, these tools can now be retrofitted with membrane diffuser technology. The result is a large reduction in particle count while maintaining throughput levels at a low cost with minimal downtime.
Characterization of extreme ultraviolet emission from tin-droplets irradiated with Nd:YAG laser plasmas
Tatsuya Aota, Yuki Nakai, Shinsuke Fujioka, et al.
EUV emission from spherical and planer targets were precisely characterized as an experimental database for use in EUV source generation at high repetition rates. In the single-shot base experiments, conversion efficiency as high as those for the plasma geometry has been demonstrated. The integrated experiment was made with 10 Hz plasma generation.
EUV wavefront measurement of six-mirror optics using EWMS
K. Sugisaki, M. Okada, K. Otaki, et al.
The wavefront measurements have been performed with the EUV Wavefront Metrology System (EWMS) for the first time using a prototype projection optic as a test optic. The wavefronts of the test optic was measured at the five positions in the exposure field with the Digital Talbot Interferometer (DTI). The RMS magnitude of the wavefront errors ranged from 0.71 λ (9.58 nm) to 1.67 λ (22.75 nm). The results obtained with the DTI were compared to those with the Cross Grating Lateral Shearing Interferometer (CGLSI). As a result of a repeatability assessment, it was found that the EWMS can stably measure the wavefronts of the test optic. Additionally, unwrapping of the phase map was found to be related to the precision of the measurement.
Evaluation result of Selete's exposure tool: impact of the source performance
We have installed a small-field exposure tool (SFET) manufactured by Canon and EUVA with a discharge-producedplasma EUV source that employs Xenon gas. We investigated how the performance of the source affects lithographic performance. Electrode life has relation to the illumination uniformity of the exposure field on wafer surface. Also source power at the wafer surface has relation to the electrode life. Electrode life makes EUV power decreasing and larger illumination uniformity number. We examine the pupilgram test using high sensitivity resist. Actual pupil fill shape was observed and there was non-uniform distribution. Pupil fill shape was changed after exchanging electrode, also resist CD bias between parallel and horizontal line of the field. That was comparable to the simulation result. The source electrode requires periodic replacement, which impacts not only the performance of the source, but also the lithographic performance of the tool, such as the CD of resist patterns.
Poster Session: EUV Source
icon_mobile_dropdown
Low density targets for laser-produced-plasma (LPP) extreme ultraviolet light source with high-CE and toward high-repletion supply
Keiji Nagai, Liqin Ge, Pejun Cai, et al.
In the present paper, we overview fabrication methods to produce density-controlled tin and xenon targets for generating extreme ultraviolet (EUV) light. The target can be classified as a mass-limited target. In the case of tin, EUV was relatively monochromatic, and its conversion efficiency was higher than bulk tin. Using the nano-template method, the cellular foam size was controlled by the template size. The density was 0.5 ~1.5 g/cm3. In the case of the 0.5 g/cm3 foam, its morphology was controlled by changing the ethanol content of the precursor tin solution. The morphology difference was useful to control the angular distribution of EUV radiation. SnO2 nanofiber, which is oriented low-density material, was fabricated continuously using a electrospinning method. The width and the shape of the fiber were controlled by optimizing precursor solution. A transparent film with tin and SnO2 elliptic spheres were prepared using liquid crystalline cellulose derivative. Low density xenon was prepared from liquid xenon using a swirl atomizer to produce a density of 0.2 g/cm3.
EUV and debris characteristics of a laser-plasma tin dioxide nano-particle colloidal jet target
Masanori Kaku, Sumihiro Suetake, Yusuke Senba, et al.
Debris characteristics and its reduction have been investigated for a laser-produced plasma (LPP) extreme ultraviolet (EUV) source using a colloidal jet target containing tin dioxide nano-particles. Dominant deposited debris on a witness plate was found to have a form of oxidized tin (SnOx) originated from nano-particles. Quantitative debris amounts were determined by total laser energy irradiated onto a target, not by laser irradiation modes, such as single or double pulse irradiation. In-situ low-temperature (100°C) heating of a plate was effective to reduce the deposited debris amount, since colloidal debris was easily vaporized by the heat. Another approach to remove the deposited debris was roomtemperature photon processing using incoherent vacuum ultraviolet (VUV) emission at 126 nm. X-ray photoelectron spectroscopy (XPS) analysis has shown that the deposited SnOx debris layer was deoxidized by the 126 nm VUV photon energy.
Magnetic debris mitigation of a CO2 laser-produced Sn plasma
We evaluated the characteristics of Sn debris generated by a CO2 laser (10.6μm) produced plasma. Experiments were performed with bulk Sn-plate targets and Mo/Si multilayer mirror samples were used for debris analysis. We observed very thin and uniform Sn layers of nano/sub-nano size debris particles. The layer deposition rate at 120mm from the plasma is, without magnetic field, about 30nm per million shots. The fundamental magnetic field effect has been confirmed experimentally. The fast Sn ion flux was measured with Faraday cups and the signal decreased by more than 3 orders of magnitude applying a magnetic field of 1T. The Sn deposition on the Mo/Si multilayer mirror decreased in this case by a factor of 4. The contribution of the remaining neutral Sn particles is under study in order to decrease the deposition rate.
Sn droplet target development for laser produced plasma EUV light source
Masaki Nakano, Takayuki Yabu, Hiroshi Someya, et al.
We are developing a Sn droplet generator for a LPP HVM EUV light source. Droplet trains with frequencies up to 500kHz and droplet diameters below 20um are generated via the continuous jet method. Charging single droplets and using deflector electrodes these charged droplets are selected from the droplet train and irradiated by the drive laser. Due to the small droplet diameter, the drive laser otherwise irradiates several droplets inside the droplet train thus increasing the Sn debris as is experimentally shown. In addition, the paper outlines that a 30um droplet size is the mass limit for up to 180W EUV generation based on the assumption that each Sn atom emits on average a single in-band photon.
LPP EUV light source employing high power C02 laser
Hideo Hoshino, Takashi Suganuma, Takeshi Asayama, et al.
We are developing a high power CO2 laser system for a LPP EUV light source. Recent theoretical and experimental data demonstrate the advantages of the combination of a CO2 laser with a Sn target including the generation of a high CE and low debris plasma with low energy ions and low out-of-band radiation. Our laser system is a short pulse CO2 MOPA (Master Oscillator Power Amplifier) system with 22 ns pulse width and multi kW average power at 100 kHz repetition rate. We achieved an average laser power of 8 kW with a single laser beam having very good beam quality. A EUV in-band power of 60 W at the intermediate focus was generated irradiating a rotating tin plate with 6 kW laser power.
Plasma Sn cleaning integrated in EUV source system
H. Shin, R. Raju, D. N. Ruzic
Extreme ultraviolet lithography (EUVL) is a potential candidate for the next generation lithography techniques, which will use Xe or Sn as a main fuel to produce EUV light. However, the industry has favored to use Sn as main fuel in EUVL systems because of its high conversion efficiency over Xe. Sn has an advantage of producing more light, but on the other hand its condensable nature is a real threat to the reflective mirrors which are used to collect the EUV light at intermediate focus. Center for Plasma Material Interactions (CPMI) at the University of Illinois has studied plasma etching as a potential method of Sn removal from collector optics. RF-driven chlorine plasma is used to etch Sn from mirror samples. Previously we reported high selectivity of Sn over several EUV compatible mirror materials. The increased confidence in this technology had led us to perform cleaning experiments on real Sn contaminated samples exposed in an EUV source and the results obtained have been very encouraging. Small mock up shells (same as in the grazing incidence collector optics system) were constructed at CPMI and chlorine etching was performed at different samples placed at different locations on multi-shell collector mock up in ICP-RIE chamber. Post cleaning material characterization results of samples shows that chlorine can potentially clean Sn off of collector optics (Ru was used in this study as a mirror sample). Realizing this as a viable cleaning solution, we have stepped further and performed a full size cleaning test in the Xtreme's XTS 13-35 EUV source. Large mock up with appropriate dimension was placed in the EUV source chamber and the cleaning system was installed to etch Sn away from Ru surface. This study compares the cleaning results in a real system scale with the previous simulated system. The comparison shows how to improve the Sn cleaning system in the EUV source chamber. Results are encouraging and may enable source suppliers to integrate this technology in their respective sources. Cleaning rate was measured as >100nm/min using ion sputtered Sn samples.
Modeling of EUV emission and conversion efficiency from laser-produced tin plasmas for nanolithography
S. S. Harilal, J. J. MacFarlane, I. E. Golovkin, et al.
Extreme ultraviolet lithography (EUVL) is a leading candidate for use in next-generation high volume manufacturing of semiconductor chips that require feature sizes less than 32 nm. The essential requirement for enabling this technology is to have a reliable, clean and powerful EUV source which efficiently emits light at a wavelength of 13.5 nm. Laser-produced plasma EUV sources are strong candidates for use in EUVL light source systems. The development and optimization of high-efficiency EUV sources requires not only well-diagnosed experiments, but also a good understanding of the physical processes affecting the emitting plasma, which can be achieved with the help of accurate numerical simulation tools. Here, we investigate the radiative properties of tin and tin-doped foam plasmas heated by 1.06 μm laser beams with 10 ns pulse widths. Results from simulations are compared with experimental conversion efficiencies and emission spectra.
EUV near normal incidence collector development at SAGEM
R. Mercier Ythier, X. Bozec, R. Geyl, et al.
Through its participation to European programs, SAGEM has worked on the design and manufacturing of normal incidence collectors for EUV sources. By opposition to grazing incidence, normal incidence collectors are expected to collect more light with a simpler and cheaper design. Designs are presented for the two current types of existing sources: Discharge Produced Plasma (DPP) and Laser Produced Plasma (LPP). Collection efficiency is calculated in both cases. It is shown that these collectors can achieve about 10 % efficiency for DPP sources and 40 % for LPP sources. SAGEM works on the collectors manufacturability are also presented, including polishing, coating and cooling. The feasibility of polishing has been demonstrated with a roughness better than 2 angstroms obtained on several materials (glass, silicon, Silicon Carbide, metals...). SAGEM is currently working with the Institut d'Optique and the Laboratoire des Materiaux Avancés on the design and the process of EUV coatings for large mirrors. Lastly, SAGEM has studied the design and feasibility of an efficient thermal control, based on a liquid cooling through slim channels machined close to the optical surface.
Poster Session: EUV Contamination
icon_mobile_dropdown
EUV optics contamination studies in presence of selected hydrocarbons
R. Garg, A. Wüest, E. Gullikson, et al.
One of the remaining challenges for the commercialization of EUV lithography is the lifetime of the Mo/Si multilayer optics and masks. The lifetime is dominated by carbon contamination on the surfaces of the optics, which is caused by residual hydrocarbons in the vacuum chamber when optics are exposed to EUV radiation. One of the possible sources of the hydrocarbons in the chamber is resist outgassing. To be able to understand which type of hydrocarbons are harmful to EUV mirror reflectivity, three hydrocarbon species - benzene, tert-butanol and diphenyl sulfide - which are thought to be representative of commonly outgassed species from EUV photoresist were selected. The goal of this work was to measure the contamination rate from these three species and to be able to draw conclusions about other species. The results of the experiments showed that after 8 hours of exposure there was not enough contamination to be significantly measurable. In addition to these hydrocarbon species, we also used vacuum grease and carbon tape as an outgassing source for hydrocarbons. Comparatively, high contamination rates were achieved with vacuum grease and carbon tape.
Ionic debris assessment of various EUVL systems
C. H. Castano, D. N. Ruzic, S. N. Srivastava, et al.
Debris generation in EUV sources is a real threat to the lifetime of collector optics. Debris measurements in these sources are of immense importance to enable source suppliers to estimate collector lifetime. Ion debris measurements performed so far are not consistent and in part incomplete. To verify lifetime claims from different EUV source suppliers, SEMATECH, which is leading this investigation, has collaborated with and provided funding to the Center for Plasma Material Interactions (CPMI) at the University of Illinois to build a fully calibrated and standardized spherical sector electrostatic energy analyzer (ICE). This device is capable of measuring ion debris flux in absolute units. In addition to ion flux, the detector is also capable of identifying different ion species present in the plasma, which can be discriminated based on energy-to-charge ratio. The lifetime of collector optics is calculated using the measured ion flux. This device was fabricated for SEMATECH with the sole aim of traveling to different EUV source suppliers' sites around the world and collecting ion debris data. SEMATECH has measured ion debris from different EUV sources around the world, using a 1 to 14keV ion energy range under different source operational conditions (chamber pressure, pinch frequency, pinch power, angle). These measurements identify the need for debris mitigation in all the EUV sources investigated under this project. They also give source suppliers an opportunity to improve and optimize the performance of their respective sources. The information on absolute ion fluxes is an advantage to source suppliers, allowing them to design and develop effective debris mitigation schemes, which can again be tested for their effectiveness using the ion diagnostic tool. As the debris consists of ions and neutrals, the next logical step is to develop a standardized neutral detector to measure the flux and energy distribution of neutrals present in EUV plasma sources. Taking into account both ions and neutral fluxes, more definitive conclusions on the performance of a EUV source can be made and better collector lifetime estimation models can be derived. The Illinois Calibrated ESA (ICE) tool is now part of the SEMATECH "Flying Circus" equipment set.
NEG (non evaporable getter) pumps for organic compounds and water removal in EUVL tools
A. Conte, P. Manini, S. Raimondi
One of present EUVL challenges is to reduce as much as possible the organic compounds and water partial pressures during the lithographic process. These gases can in fact interact with sensitive surfaces and, in the presence of EUV radiation, decompose to generate carbon-based films and oxides, which are detrimental to the optics, reducing its performance, lifetime and significantly increasing the equipment total cost of ownership. With this respect, use of Non Evaporable Getter (NEG) pumps seems particularly attractive. Getter pumps are very clean, vibration-free, compact, able to deliver large pumping speed for all active gases, including water and hydrogen. In the present paper, we report for the first time the results of specific tests aimed at measuring the pumping speed for some selected organic compounds, namely toluene and decane (n-decane). The study shows that getter pumps can effectively sorb these large organic molecules with high speed and capacity. Speed and capacity increases when operating the getter cartridge at moderate temperature (e.g. 150-200°C), however remarkable sorption is achieved, even at room temperature, without any power applied. When coupled with turbo-molecular pumps NEG pumps have therefore the potential to improve the ultimate vacuum and mitigate the carbon/oxygen contamination in a UHV lithographic system.
Performance and lifetime of EUV source collectors measured with a full size EUV collector reflectometer
The lifetime and the efficiency of EUV source collector optics will have direct impact on the cost effectiveness of the EUV lithography semiconductor production. Therefore the collector optics was identified as a critical issue in EUVL. To continually improve and optimize the source collector optics precise measurements of the optics characteristics are required as well as life time studies. Adequate measuring equipment must be designed to perform these measurements on-site, at-wavelength and under realistic conditions. Moreover, the measurement accuracy must be sufficient to allow the detection of small changes in reflectivity and homogeneity of the EUV source collector optics. This makes it possible to predict the lifetime of an EUV source collector operated with a high power EUV source after a fraction of the specified pulse number, e.g. after a couple of hours. A reflectometer for the investigation of full EUV source collectors was developed, designed and set up. As an EUV source a commercial microfocus EUV tube was used. This source is particularly suitable for application in metrology, as it is very stable in its output parameters (namely power, spectrum, spot size, spot position), and it does not emit debris. The radiation cone emitted by the EUV source is tailored with a Schwarzschild objective to the spectral and geometrical requirements of the Wolter-shell EUV source collector optics At the time of this contribution the measurement system presented here is in operation since 18 months, and a large number of collector measurements was performed. It is used for the quality control of factory-new EUV source collectors as well as for repeated measurements within the context of lifetime tests. With this device the efficiency of debris filters, which prevent the EUV source collector optics from contamination, could be determined and improved. The concept of the device and the implementation together with measurement results are described.
Phenomenological analysis of carbon deposition rate on the multilayer mirror
Takahiro Nakayama, Hiromitsu Takase, Shigeru Terashima, et al.
It is very important to mitigate oxidation of multilayer mirrors (MLMs) and carbon deposition onto MLMs to extend the lifetime of EUV exposure tool. We focused on carbon deposition on Si-capped multilayer mirror. We made experiments of EUV irradiation to the multilayer mirrors using an EUV irradiation apparatus connected to a beam line (SBL -2) of synchrotron radiation facility Super-ALIS in the NTT Atsugi research and development center. Thickness of deposited carbon was obtained by using XPS. We investigated carbon deposition rates at various partial pressures of various organic species. Phenomenological analysis was applied to the obtained carbon deposition rate. Carbon deposition rate was proportional to the pressure at the proportional EUV intensity. Applying this normalization of the deposition rate and the EUV intensity, carbon deposition rate seems to behave according to each universal function for each hydrocarbon species.
Protection from surface oxidation of Ru capping layers for EUVL projection optics mirrors by introducing hydrocarbon gas
We investigated the protection of a Ru-capped Mo/Si multilayer from surface oxidation under exposure to EUV radiation in the presence of water vapor and isopropyl alcohol (IPA). Degradation of the reflectance of the Ru-capped Mo/Si multilayer by EUV irradiation was controlled by introducing IPA gas. We also investigated the reduction effect of the oxide layer in a multilayer mirror by introducing ethanol and exposed EUV. The Ru-capped multilayer sample was exposed to EUV radiation in the presence of only water vapor to oxidize its surface. The reflectance decreased by about 1.5%. Then the sample was exposed to EUV radiation in the presence of only ethanol vapor. The reflectivity of the sample was recovered to +0.5%, and the atomic concentration of oxygen in the irradiated area was decreased by EUV irradiation in the presence of ethanol.
Lithium debris removal by sputtering and evaporation for EUV optics and applications
M. J. Neumann, M. J. Cruce, D. N. Ruzic
The selection of extreme ultraviolet (EUV) light as the next generation lithography light source as been a natural progression of the development in the semiconductor industry based on past history of chip size and the projection of where chip sizes will go. The sources of EUV light convert about 1-4% of net deposited plasma energy into photons between 13-14 nm, the remaining energy generates out of band radiation and the production of highly energetic ions and neutrals in the dense hot plasma that move outwards in all directions. A fraction of these ions strike electrode surfaces, injection nozzles, and the vacuum chamber producing low-energy sputtered atoms termed debris. There are limitations to various mitigation schemes and some debris will still reach the collector optics. As such, the mechanism and ability of Li removal from the collector like optics needs to be studied and modeled to be able to provide a predictive value for lifetime of the optics. This removal can be accomplished with the presence of a secondary helium plasma which can selectively sputter lithium from the collector optic surface while providing high EUV photon transmission and have the advantage of being a nondestructive in situ cleaning method for the collector optics. This will allow development of long lasting collector optics and operating regimes in addition to expanding the knowledge base about lithium transport and interaction. First, a lithium magnetron source was developed to provide low energy lithium debris like that which is present in EUV sources. This magnetron was plasma was characterized through the use Langmuir probe analysis to yield a mapping of the temperature and density of the plasma, in addition to the ionization fraction. From here, a secondary helium plasma source was developed, employed, and studied in the same manner to also provide information on the electron density, temperature, and ionization fraction so as to accurately model and measure the deposition flux of lithium and sputter flux of helium on the sample surface. The model correlated well to experimental observations. The development of a model of simultaneous lithium deposition and evaporation in the presence of a secondary plasma held below the lithium sputtering threshold was well correlated to experimental observations. Finally, the simultaneous process of deposition, evaporation, and sputtering of lithium was modeled and corroborated with experimental observations such that no net deposition on the collector optic was measured. This lends the model to being available model was developed that can be used for predictive scenarios involving deposition, evaporation, and sputtering are undertaken. This work, while relevant for the commercial EUVL community, is relevant in physics for applications involving plasma physics, plasma material interactions, magnetron sputtering, solid metal sputtering, liquid metal sputtering, liquid metal evaporation, soft x-ray optics, and modeling of these interactions.
Surface phenomena related to degradation of EUV mirrors: interaction of ethyl alcohol with ruthenium surfaces
We characterize the interaction of ethyl alcohol (C2H5OH) vapor with a crystalline Ru(1010) surface, a model surface for Ru capping layers used for extreme ultraviolet lithography (EUVL) optics. Our main objective is to provide fundamental insights into the basic physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer EUV mirrors that are exposed to EUV radiation. While ethanol has been used previously as a gaseous hydrocarbon in EUV mirror lifetime tests, there appear to be no published reports describing the basic surface chemistry of ethanol on Ru. We use ultrahigh vacuum surface science methods, and find that fractional monolayers (ML) of ethanol dissociate almost completely on clean Ru(1010); H2 and CO desorb upon heating to ~500 K, and leave a fractional ML of carbon on the surface. At coverages greater than a few tenths of a ML, ethanol desorbs in molecular form at temperatures below 300 K. Weakly chemisorbed ethanol in the first ML desorbs at ~210 K with a binding energy of 0.55 eV. Multilayer ethanol desorbs at ~155 K. Repeated dosing of ethanol followed by heating to ~600 K causes accumulation of a carbon monolayer which becomes unreactive to ethanol. Also, we find that an oxygen monolayer is unreactive, with little or no dissociation of ethanol observed. Electron bombardment of Ru at 300 K in 5×10-8 Torr ethanol can lead to accumulation of a carbon film >1nm thick.
Gas phase study of the reactivity of optical coating materials with hydrocarbons using a desk-top size EUV laser
S. Heinbuch, F. Dong, J. J. Rocca, et al.
The reactivity of prospective capping layer extreme ultraviolet (EUV) mirror materials with hydrocarbons, alcohols, and water is studied in the gas phase using mass spectroscopy of metal-oxide clusters. We report the results of chemistry studies for Si, Ti, Hf, Zr, and Ru metal oxide nanoclusters in which the reaction products were ionized with little or no fragmentation by 26.5 eV photons from a desk-top size 46.9 nm Ne-like Ar laser. Hf and Zr oxides are found to be much less reactive than Si or Ti oxides in the presence EUV light. Ru oxides show different chemistry and are inactive with water/alcohols, and active with saturated/unsaturated hydrocarbons. The results are relevant to the design of EUV mirror capping layers that are resistant to carbon contamination.
Poster Session: EUV Imaging
icon_mobile_dropdown
Particle-contamination analysis for reticles in carrier inner pods
John R. Torczynski, Michael A. Gallis, Daniel J. Rader
Particle contamination is analyzed for a reticle in the inner pod of a carrier with particular emphasis on the effect of raising the cover of the inner pod before removing the reticle from the carrier at atmospheric pressure (not low pressure). Two mechanisms for particle transport into the gap between the base plate and the reticle are considered: injection and advection-diffusion. It is shown that injection is not an important mechanism but that advection-diffusion transport can carry particles deeply into the gap, where they can deposit on the reticle surface. Closed-form expressions are presented for the transmission probability that particles at the reticle edge are transported inward past the exclusion zone around the reticle perimeter. The gas flow in the gap that occurs during cover-raising is found by numerical simulation, and the closed-form expressions are applied to determine the probability of contamination for different cover-raising scenarios.
Flare evaluation for 32-nm half pitch using SFET
Flare degrades critical-dimension (CD) control in EUVL, a promising technology for the 32-nm half-pitch node. To deal with flare, high-quality projection optics in the exposure tool and flare variation compensation (FVC) technology with proper mask resizing are needed. Selete has installed a small-field exposure tool (SFET) with the goal of assessing resist performance. Due to the high-quality optics, the SFET allowed us to determine the required flare specification to be 6.1% or 6.6%, as calculated from the residual part of the low- or middle-frequency region, respectively. The flare level was confirmed through experimental results and from calculations using the power spectral density (PSD) obtained from the mirror roughness by the disappearing-resist method. The lithographic performance was evaluated using 32-nm-halfpitch patterns in a new resist. The resist characteristics can be explained by modeling blur as a Gaussian function with a σ of 8.8 nm and using a very accurate CD variation (< ~6 nm) obtained by taking into account the influences of mask CD error and flare on evaluation patterns. Since FVC is needed to obtain flare characteristics that do not degrade the CD, we used the double-exposure method to eliminate the influence of errors, including nonuniform dose distribution and CD mask error. Regardless of whether there was an open area or not, there was no difference in CD as a function of distance up to a distance of 20 µm. In addition, CD degradation was observed at distances not far (< 5 µm) from the open area. In a 60-nm neighborhood of the open area, an 8-nm variation in CD appeared up to the distance at which the CD leveled off. When the influences of resist blur and flare on patterns was taken into account in the calculation, it was found that aerial simulations based on a rigorous 3D model of a mask structure matched the experimental results. These results yield the appropriate mask resizing and the range in which flare has an influence, which is needed for FVC. This research was supported in part by NEDO.
EUV simulation extension study for mask shadowing effect and its correction
Hoyoung Kang, Steve Hansen, Jan van Schoot, et al.
It is well known from 193nm simulation studies that accounting for the electromagnetic (EM) interaction between the incident light and the mask become more important as the mask geometry shrinks. In particular this transition occurs when the size of the mask pattern becomes comparable to the wavelength of light. Early simulation work in EUV lithography indicated rigorous EM calculations are required to predict the subtle effects associated with the mask absorber shadowing effect. These calculations generally show that non-normal mask incidence creates several problems, including HV-bias, slit position dependent bias, and slit position dependent pattern shift. These results are surprising because the mask sizes studied are much larger than the 13.5nm wavelength. If approximate methods could be used rather than the rigorous EM calculations then EUV simulations would be much faster and more accessible. In this study, rigorous EM simulation results are compared with a Kirchoff approximation. The results show that Kirchoff simulations can mimic the shadowing effect with a simple mask bias. It is also found that the pattern shift effect is an artifact caused by a misinterpretation of the rigorous simulation results. With proper biasing depending on the pattern orientation and field position, simple Kirchoff simulation can be used. Thus Any MBOPC tool currently available can handle EUV proximity correction with minor modifications.
Model-based pupil-fill optimization for the SEMATECH Berkeley EUV microfield exposure tool
Jonathan S. Nation, Patrick P. Naulleau
Extreme ultraviolet (EUV) resist development has been enabled over the past few years in large part by high numerical aperture (NA) exposure tools such as the 0.3-NA microfield exposure tools (METs) at SEMATECH and Intel. Of these tools, the SEMATECH Berkeley MET tool is unique in its ability to provide lossless pupil fill control enabling extremely low k1 printing (down to 0.25). It is well known that illumination settings can be tailored to optimize printing performance for particular features. The optimal illumination settings, however, depend not only on the feature type but also on the specifics of the pupil function, including phase (or aberrations) and amplitude (or pupil obscurations). Here, aerial image modeling is used to study the optimal illumination conditions for the SEMATECH Berkeley MET tool as a function of feature size and type. The modeling accounts for the known pupil function (amplitude and phase) of the optic.
Poster Session: EUV Resist
icon_mobile_dropdown
Vacuum induced photoresist outgassing
In order to continue the trend toward smaller feature sizes in lithography, new methods of lithography will be needed. A likely method for printing features 32 nm and smaller is extreme ultraviolet (EUV) lithography. EUV allows for features to be printed that are smaller than the current methods can achieve. However, outgassing of the photoresist is a concern for EUV lithography. The outgassed components can lead to contamination of the optics, degrading the reflectivity and hence lowering throughput of the exposure tools. Outgassing due to EUV exposure has been investigated by many groups. However, there were no complete investigations available of vacuum induced outgassing. In this paper, several methods were employed to investigate the outgassing due to vacuum. It was found that the vacuum induced outgassing outgassed a similar number of molecules as the outgassing due to EUV exposure. Furthermore, almost all of the outgassing was completed after about two minutes in vacuum. To mitigate the potential concern of outgassing due to vacuum causing contamination of optics, this work shows that photoresist coated silicon wafers only require about two minutes of pumping prior to insertion near the optics within EUV lithography tools.
Investigation of sensitivity of extreme ultraviolet resists to out-of-band radiation
A method to evaluate the sensitivity of photoresists used for extreme ultraviolet (EUV) lithography has been developed. EUV sources produce out-of-band radiation and the reflective optics used in EUV tools reflect some of this out-of-band light on the wafer plane. The effect of exposing these photoresists to this unwanted light can reduce the image contrast on the wafer, and thereby reduce the image quality of the printed images. To examine the wavelengths of light that may have an adverse effect on these resists, a deuterium light source mounted with a monochromator has been designed to determine how sensitive these photoresists are to light at selected wavelengths in the range 190-650 nm.
Proximity printing using extreme ultraviolet radiation
R. Garg, P. Naulleau, R. Brainard, et al.
With the commercialization of extreme ultraviolet (EUV) lithography underway, there is considerable effort underway to improve EUV photoresists, so that they can meet the ITRS 2006 update requirements for resolution, line edge roughness and sensitivity. Nevertheless, the present limited availability of EUV exposure tools, and the high cost of such tools, has hampered the resist development effort. We have developed a simple, low-cost, technique to characterize different photoresist formulations for printing sub-100-nm features using EUV radiation. In the method presented here, a transmission mask is placed in close proximity to the resist sample and the resist is exposed through the mask. The mask used for this technique is a silicon nitride membrane with 50 nm gold layer which is patterned using a focused ion beam tool. The source for EUV light is a xenon based discharge plasma source from Energetiq. After developing, the resist images are measured in a scanning electron microscope to determine the feature size. Here we present results demonstrating sub-100 nm feature size using this method.
Advanced extreme ultraviolet resist testing using the SEMATECH Berkeley 0.3-NA microfield exposure tool
Patrick P. Naulleau, Christopher N. Anderson, Jerrin Chiu, et al.
Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor (MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects and compare the results to observed trends in LER performance from EUV resists over the past few years.
Benchmarking of commercial EUVL resists at SEMATECH
Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography technology for the 32 nm half-pitch node and beyond. The availability of EUV resists is one of the most significant challenges facing its commercialization. A successful commercial EUV resist must simultaneously meet resolution, line width roughness (LWR), photosensitivity, and resist outgassing specifications. Photosensitivity is of particular concern because it couples directly to source power requirements and the source is widely viewed as the most daunting challenge facing EUV commercialization. To accelerate EUV resist development, SEMATECH has two programs that provide the resist community access to EUV exposure capability: 1) the EUV Resist Test Center (RTC) at SEMATECH at Albany, SUNY, and 2) the SEMATECH microexposure tool (MET) at Lawrence Berkeley National Laboratory. SEMATECH uses both facilities to benchmark EUV resists in close cooperation with resist suppliers. Here we summarize results from the SEMATECH EUV resist benchmarking project including process windows, exposure latitude, and depth of focus, photospeed, LWR, and ultimate resolution. Results show that EUV resists meet resolution and outgassing requirements for the 32nm half-pitch node. LWR and photospeed, however, remain a concern especially for contact-hole printing. Moreover, progress towards the 22nm half-pitch node has also been demonstrated in terms of resolvability.
Poster Session: EUV Mask
icon_mobile_dropdown
Evaluation of TiO2-SiO2 ultra-low-expansion glass fabricated by the soot method using the line-focus-beam ultrasonic material characterization system
In this paper, we tried to fabricate a TiO2-doped SiO2 (TiO2-SiO2) glass ingot by the soot method, and homogenized the glass ingot by the zone-melting method. Homogeneities of the specimens were evaluated by measuring leaky surface acoustic wave (LSAW) velocity using the line-focus-beam ultrasonic material characterization system at 225 MHz. Two-dimensional LSAW velocity distributions having an average velocity of 3304.08 m/s with a maximum velocity difference of 3.85 m/s were measured for a homogenized specimen. Striae were not observed for the specimen. The velocity difference corresponds to 17.0 ppb/K from the sensitivity of the LSAW velocity to the CTE {4.41 (ppb/K)/(m/s)}. However, the velocity distributions excluding the edge parts were within ±1.13 m/s, corresponding to the CTE specification of ±5 ppb/K required for EUVL-grade glass. We also discussed the relationship between LSAW velocities and fictive temperatures by heat-treating a part of the homogenized specimen.
The study of attenuated PSM structure for extreme ultraviolet lithography with minimized mask shadowing effect
Chang Young Jeong, Byung Hun Kim, Tae Geun Kim, et al.
In this paper, we suggest an optimal attenuated phase shift mask (PSM) structure for extreme ultra violet lithography (EUVL) to minimize mask shadowing effect without loss of image contrast. The attenuated PSM proposed in this study is based on Fabry-Perot structure that consists of tantalum nitride (TaN) attenuator, Al2O3 spacer, and molybdenum (Mo) phase shifter. Deep ultra violet (DUV) reflectivity can be lowered down to 5% at 257nm for higher efficiency in DUV inspection process through the optimal thickness combination of TaN and Al2O3. Since the thickness variation of Mo dose not affect the DUV reflectivity, the phase shift effect can be controlled by Mo thickness only. As a result, attenuated PSM with phase shift of 180±6° and absorber reflectivity of 9.5% could be obtained. The total thickness of absorber stack is only 52nm. The analysis of aerial image was performed using SOLID-EUV simulation tool. The attenuated PSM showed steeper edge profile and higher image contrast compared to binary mask. Imaging properties including horizontal-vertical (H-V) critical dimension (CD) bias and pattern shift depending on both pattern size and process condition were compared to the binary mask using aerial image simulation. Attenuated PSM showed less H-V CD bias compared to that of binary mask. The 32nm dense pattern shows larger H-V CD bias than 45nm one due to larger shadowing of smaller pattern size. Especially, 32nm dense pattern at binary mask has very large H-V CD bias. The H-V CD bias was also affected by the change of focus. However, the H-V bias variation with defocus was below 1nm within the process latitude. We also obtained the result that the pattern shift is less sensitive than H-V CD bias with the optical property of absorber in EUVL.
Evaluation of EUV scatterometry for CD characterization of EUV masks using rigorous FEM-simulation
Frank Scholze, Christian Laubis, Gerhard Ulm, et al.
Scatterometry, the analysis of light diffracted from a periodic structure, is a versatile metrology for characterizing periodic structures, regarding critical dimension (CD) and other profile properties. For extreme ultraviolet (EUV) masks, only EUV radiation provides direct information on the mask performance comparable to the operating regime in an EUV lithography tool. With respect to the small feature dimensions on EUV masks, the short wavelength of EUV is also advantageous since it increases the sensitivity for small structural details. Measurements using PTB's EUV reflectometer at the storage ring BESSY II showed that it is feasible to derive information on the absorber line profile in periodic areas of lines and spaces by means of rigorous numerical modeling with the finite element method (FEM). A prototype EUV mask with fields of nominally identical lines was used for the measurements. In this contribution we correlate the scatterometry data to CD-SEM and surface nano probe measurements of the line profiles as provided by the mask supplier. We discuss status of the determination of CD and side-wall geometry by scatterometry using rigorous FEM calculations of EUV diffraction and directions for further investigations.
Experimental evaluation of out-of-plane distortion of electrostatically chucked EUV reticle
Kazuya Ota, Takao Taguchi, Mitsuaki Amemiya, et al.
"Reticle protection during storage, handling and use" is one of the critical issues of EUV lithography because no practical pellicle has been found for EUV reticles as yet. The front surface of an EUV reticle has to be protected from particles larger than 20-30 nm to maintain the image quality on the wafer plane, and the backside also has to be protected to maintain the flatness of the reticle chucked on an electrostatic chuck (ESC). In this paper, we are focusing on particles on the backside of the reticle. If a particle lies between the reticle and the chuck, it has a strong impact on the flatness of the reticle, and the wafer overlay is degraded by out-of-plane distortion (OPD) and in-plane distortion (IPD) due to the particle1-5. From this point of view, we need to know the maximum permissible size of particles on the backside of the reticle. MIRAI-Selete introduced an experimental setup that can measure the flatness of the chucked reticle in a vacuum. An electrostatic chuck is installed in the vacuum chamber of Mask Protection Engineering Tool (MPE Tool)6, a reticle is automatically carried from a reticle pod to the chuck in the tool. The flatness of the reticle can be measured by an interferometer through a viewport underneath the chamber. We can measure the reticle flatness with 3-nm@rms reproducibility using this setup. We report results of experimental evaluation about the relationship between the reticle OPD, the size of particle and the chucking force of ESC.
Particle-free mask handling techniques and a dual-pod carrier
Mitsuaki Amemiya, Kazuya Ota, Takao Taguchi, et al.
In EUV lithography, particle-free handling is one of the critical issues because a pellicle is impractical due to its high absorption. To investigate this subject, we have developed a mask protection engineering tool that allows various types of tests to be carried out during the transfer of a mask or blank in air and in vacuum. We measured the number of particle adders during the transfer of a mask blank in a dual-pod carrier and in an RSP200 carrier. We found that the number of particle adders (>=46 nm PSL) to a mask blank in a dual pod is less than 0.01 over the whole process from taking the blank out of the load port in air to putting it in the electrostatic chuck chamber in vacuum. Through various experiments, the number of particle adders during any process using a dual pod was found to be very few and very stable. In contrast, for a naked mask, many particle adders were found in large variations. Below one particle were added in over 80% of experiments on a dual pod and in about 20% of experiments on a naked mask. Based on the test results, we can conclude that the use of dual pod is an excellent particle-free transfer technique.
EUV mask reflectivity measurements with micron-scale spatial resolution
Kenneth A. Goldberg, Senajith B. Rekawa, Charles D. Kemp, et al.
The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of advanced mask inspection tools, operating at several wavelengths. We describe the unique measurement capabilities of a prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that occur on the scale of microns to nanometers. The defects present in EUV masks can appear in many well-known forms: as particles that cause amplitude or phase variations in the reflected field; as surface contamination that reduces reflectivity and contrast; and as damage from inspection and use that reduces the reflectivity of the multilayer coating. This paper presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research. We describe the role of actinic scanning inspection in defect repair studies, observations of laser damage, actinic inspection following scanning electron microscopy, and the detection of both native and programmed defects.
Poster Session: EUV Metrology
icon_mobile_dropdown
At-wavelength reflectometry with a microfocus EUV tube
André Egbert, Stefan Becker
Reliable and compact extreme ultraviolet (EUV) laboratory sources are strongly required for in-house characterization of optical components and for the precise calibration of EUV diagnostic instruments. The EUV tube, based on the transfer of advanced microfocus x-ray tube technology into the EUV spectral range around 13.5 nm, is an important tool for these applications. Great benefits of this source are a compact and flexible design, debris-free operation, and high temporal and spatial long-term stability. Detailed characteristics of the source performance are reported and different examples for at-wavelength metrology are presented.
Design requirements for a stand alone EUV interferometer
EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.