Proceedings Volume 6827

Quantum Optics, Optical Data Storage, and Advanced Microlithography

Chris A. Mack, Jinfeng Kang, Jun-en Yao, et al.
cover
Proceedings Volume 6827

Quantum Optics, Optical Data Storage, and Advanced Microlithography

Chris A. Mack, Jinfeng Kang, Jun-en Yao, et al.
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 25 October 2007
Contents: 12 Sessions, 61 Papers, 0 Presentations
Conference: Photonics Asia 2007 2007
Volume Number: 6827

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Session 1
  • Session 2
  • Session 3
  • Poster Session
  • Holographic Data Storage
  • Optical Storage Material
  • Optical Disk Storage System
  • Poster Session
  • Leading Edge Optical Lithography
  • Computational Lithography and OPC
  • New-generation Lithography Technologies
  • Metrology for Lithography
  • Poster Session
  • Additional Paper
Session 1
icon_mobile_dropdown
The separability of the evolved tripartite Gaussian state
We consider the time evolution of the tripartite three mode Gaussian state which is initially prepared in genuine entangled pure state. The state undergoes parametric amplification and amplitude damping as well as thermal noise. The correlation matrix of the evolved state is studied in the symmetrical case. The separability conditions are given.
The thermal entanglement in a spin-1 Heisenberg XXZ chain
Huiying Ni, Jianxing Fang, Xiaoyan Zhou, et al.
In this paper, the entanglement in a spin-1 Heisenberg XXZ chain with uniform and nonuniform external magnetic field is investigated in the terms of the measure of entanglement called 'negativity'. The effects of the external magnetic field, the anisotropy parameter and the temperature on the negativity are discussed respectively. It can be seen that the entanglement in this spin chain for any temperature is symmetric with respect to zero magnetic field. We calculate the partially transposed density matrix and give qualitatively results at different temperatures. In order to show the results clearly, the behavior of negativity is presented for five different cases. It can be found that the temperature and the external magnetic field can effect the feature of the thermal entanglement significantly. In addition, under certain condition, the negativity may be enhanced under a nonuniform magnetic field.
Entanglement generation with coherent light via cross-Kerr-nonlinearity
Zhi-Ming Zhang, Jian Yang, Yafei Yu
We propose a scheme for generating entangled states of light fields. This scheme only requires the cross-Kerr nonlinear interaction between coherent light-beams, followed by a homodyne detection. Therefore, this scheme is within the reach of current technology. We study in detail the generation of the entangled states between two modes, and that among three modes. In addition to the Bell states between two modes and the W states among three modes, we find plentiful new kinds of entangled states. Finally, the scheme can be extend to generate the entangled states among more than three modes.
Session 2
icon_mobile_dropdown
Quantum secure direct communication based on supervised teleportation
Yue Li, Yu Liu
We present a quantum secure direct communication(QSDC) scheme as an extension for a proposed supervised secure entanglement sharing protocol. Starting with a quick review on the supervised entanglement sharing protocol - the "Wuhan" protocol [Y. Li, et al., quant-ph/0709.1449 (2007)], we primarily focus on its further extend using for a QSDC task, in which the communication attendant Alice encodes the secret message directly onto a sequence of 2-level particles which then can be faithfully teleported to Bob using the shared maximal entanglement states obtained by the previous "Wuhan" protocol. We also evaluate the security of the QSDC scheme, where an individual self-attack performed by Alice and Bob - the out of control attack is introduced and the robustness of our scheme on the OCA is documented.
A decoherent limit of fault-tolerant quantum computation driven by coherent fields
Li Yang, Yufu Chen
Based on amplitude character of quantum Rabi oscillation driven by coherent field we show that there exists an upper bound to logic complexity of quantum circuit. We introduce a parameter called single-qubit logic complexity and estimate its decoherence limit in a reasonable case. The analysis show that a generally accepted constant threshold of the threshold theorem limits the logic complexity to so small a number that even a typical construction of fault-tolerant quantum Toffoli gate can hardly be implemented reliably. This result suggests that the construction of feasible fault-tolerant quantum gates is still an arduous task.
Decoy state quantum key distribution in telecom dark fiber
Wei Chen, Zheng-fu Han, Zhen-qiang Yin, et al.
The imperfect components of a quantum key distribution (QKD) system will degrade its security. The highly attenuated weak coherent laser source used in today's QKD system is vulnerable to PNS attacks due to multi-photon optical pulses. Decoy State QKD, which is capable of beating PNS attacks and providing unconditional security, has been an attractive scheme recently. We have used a one way Faraday-Michelson phase modulated QKD system to implement 123km decoy state QKD in the lab. Furthermore a field decoy state QKD experiment of 32km dark fiber in Beijing is fulfilled. The optical pulse repetition rate is 1MHz and the final secure key is 9.59×10-7 (6.784×10-6) bit per pulse for 123km (32km). The experiment result indicates that the decoy state scheme can be successfully used in real-life metropolitan QKD sessions.
Quantum secret sharing based on Smolin bound entangled states is not unconditionally secure
Ya-fei Yu, Zhi-ming Zhang
As an important resource of the quantum information engineering, to explore its' possible applications and limits in the field of the secure communication is very necessary. In the paper we analyse the problem of the security of quantum secret sharing with Smolin bound entangled states as the channel quantum state. An intercept-resend strategy of the inner of the legal communicators is proposed to attack the security without be detected by the checking procedure with comparing the results of single-qubit measurement. A conclusion that quantum secret sharing only with Smolin bound entangled states as the channel quantum state isnot unconditionally secure is attained, and a general proof in terms of the quantum correlation is given.
Session 3
icon_mobile_dropdown
The study of single photon detector for quantum key distribution
Zhengjun Wei, Jindong Wang, Kaizhen Li, et al.
This paper introduces the design of a single photon detector based on the AT89C51 single chip microcontroller for quantum key distribution at telecommunication wavelengths. An avalanche photodiode (APD) is operated in Geiger mode and stabilized at temperature of 228K for translating the single photon signals into electrical pulses. The photon induced avalanche pulses are amplified and converted to digital data. The microcontroller discriminates the data, counting the number of photons, and then displays the photon number and the detection efficiency on a LCD display. The instrument can effectively support the study of quantum key distribution.
Photonic technology in quantum information challenges quantum limitation
Songhao Liu, Jindong Wang, Changjun Liao
Basic experiments for quantum information have demonstrated the important role that photons play in future practical quantum information system. Technical prospects of processing quantum information in quantum computing, quantum communication and quantum cryptography encourage researchers to develop advanced photonic devices with high precision. We recognized that the most essential is to develop component parts of every kind that can constitute a qualified quantum information system. By using these component parts with high precision, it is possible to decrease errors in a practical quantum information system. The errors come from interaction of quantum information with environment that appear as decoherence. In terms of the technical terminology, this kind of interaction is appeared in conventional characterization as loss of the devices that are responsible for the permutation between quantum information system and environment noise. Therefore we analyze several possible structures of the components and devices that should be considered in the design of the components and the devices for quantum information usage. The emitters should generate quantum eigen state that the quantum dot with specified shape is embedded in mode matched waveguide. The quantum bits should be encoded only by phase modulation without loss. The receiver should also be sensitive to the quantum state. Every step of the efforts challenges the quantum limitation and the technologies available.
Light tunneling in a pair structure consisting of epsilon-negative and mu-negative media
Tuanhui Feng, Yunhui Li, Hong Chen, et al.
In this paper, the tunneling phenomenon occurring in a pair structure consisting of epsilon-negative (ENG) and mu-negative (MNG) metamaterials is investigated. First, the ENG and MNG metamaterials are fabricated using coplanar waveguide loading with lumped-element series capacitors and shunt inductors. Then, the tunneling phenomenon occurring in the ENG-MNG pair is experimentally demonstrated. Finally, the properties of the tunneling mode are studied and the results show that the tunneling frequency is independent of the pair length and the electric field of the tunneling mode is highly localized at the interface of the ENG-MNG pair.
Polarization coding and decoding by phase modulation in polarizing sagnac interferometers
Xiaobao Liu, Changjun Liao, Zhilie Tang, et al.
We present implementation of phase modulated polarization coding by polarizing sagnac interferometers. At four different low input voltages of the phase modulator, coder encodes pulse into four different polarization states, 45°, 135° linearly polarized or right, left circle polarized, while the decoder serves as the complementary polarizers. The mean visibility of the system is tested at 94.31%.
Poster Session
icon_mobile_dropdown
A quantum-mathematical model to state single photon (electron) double slit experiment, Fraunhofer and Fresnel diffractions
This paper provides a complete physical model associated with mathematical analysis and formulation that is based on Schrödinger equation and Feynman path integral. This model answers to single Photon (electron) double slit experiment with high degree of accuracy. It also gives a new method of analysis and formulation for Fresnel and Fraunhofer diffractions that are completely according to the Schrödinger equation and Feynman path concept. The results of these methods are precisely in agreement with the near field and far field diffraction experiments. In other words the new formulation in all above mentioned phenomena i.e. single photon (electron) double slit experiment, Fresnel and Fraunhofer diffractions are completely confirmed by lab experiments(measurements). Lab experiments mean common intensity and pattern experiments resulting from Fresnel (near field) diffraction, Fraunhofer (far field) diffraction and patterns resulting in single photon (electron) lab experiment. The resultant formulas are sketched and calculated by matlab program, the resultant graphs and values are compared with lab experiments in each section. This analysis substitutes wave function and probability density function concepts instead of interference of light beams emitted from infinitesimal pinholes or classical interpretation of near field diffraction and far field diffraction phenomena. The ultimate goal of this paper is to give a very accurate answer to the single particle double slit experiment and also to unify diffraction concept of classical optics in to quantum mechanics, deleting the traditional concept of phases in classical optics and substituting the concept of deflection of Feynman paths. The above mentioned analysis may be a glad tiding to a reliable method to unify classical optics in to quantum mechanics.
Complex amplification and non-symmetric amplitude damping of two-mode Gaussian state
The evolution of two-mode Gaussian state under complex amplification, non-symmetric damping and thermal noise is studied. The analytical time dependent solution of the state characteristic function is obtained in some special cases. The separability criterions are given for the final state of weak complex amplification as well as strong complex amplification.
Quantum identity authentication based on secret transmitting order of particles
Shan-shan Huang, Jun Li, Yue Li, et al.
A secure quantum identity authentication protocol by employing the mechanism of the secret transmitting order scheme via the Einstein-Podolsky-Rosen pairs is proposed. With the help of a certificated authority, two legitimate users can faithfully accomplish the authentication and distribute the quantum key simultaneously. Investigation on security of our protocol is also provided which shows the protocol remains robust when confronted with series typical individual attacks. During the protocol, no local operation and classical communication is applied.
Probabilistic teleportation of two-unknown entangled atomic states without Bell-State measurement
Xiao-Yan Zhou, Jian-Xing Fang, Hui-Ying Ni
In this paper, we propose a protocol for teleportation of two unknown atomic states using non-maximally entangled states. We consider teleportation for atomic entangled states in cavity quantum electrodynamics (QED). Through analysis, we conclude that it could be succeed without joint BSM (Bell-State measurement). One BSM can be exactly converted into two separate atomic measurements on the two relevant atoms only by one step using the interaction between the atoms and atoms in the cavity. The most remarkable advantage of our scheme is that the teleportation and distillation procedure can be carried out concurrently. Suppose that the cavity mode is prepared in vacuum state. We can utilize the Hamiltonian for the system, discussing how to make teleportation successful. And we discuss the probability of reconstructing the initial state. We consider two identical two-level atoms simultaneously interacting with a single-mode cavity field. There is no energy exchange between the atomic system and the cavity, so we use the detuned interaction between atoms and atoms in cavity in the scheme which is insensitive to both the cavity decay and the thermal field. For the resonant cavity, in order to realize the teleportation successfully, the relationship between the teleportation time and the excited atom lifetime should take into consideration. The time required to complete the teleportation should much shorter than that of atom radiation. Hence, atom with a sufficiently long excited lifetime should be chosen. The discussion of the scheme indicates that it can be realized by current technologies.
Quantum key distribution networks layer model
Hao Wen, Zheng-fu Han, Pei-lin Hong, et al.
Quantum Key Distribution (QKD) networks allow multiple users to generate and share secret quantum keys with unconditional security. Although many schemes of QKD networks have been presented, they are only concentrated on the system realization and physical implementations. For the complete practical quantum network, a succinct theoretic model that systematically describes the working processes from physical schemes to key process protocols, from network topology to key management, and from quantum communication to classical communication is still absent. One would hope that research and experience have shown that there are certain succinct model in the design of communication network. With demonstration of the different QKD links and the four primary types of quantum networks including probability multiplexing, wavelength multiplexing, time multiplexing and quantum multiplexing, we suggest a layer model for QKD networks which will be compatible with different implementations and protocols. We divide it into four main layers by their functional independency while defining each layer's services and responsibilities in detail, orderly named quantum links layer, quantum networks layer, quantum key distribution protocols process layer, and keys management layer. It will be helpful for the systematic design and construction of real QKD networks.
Quantum Kirchhoff equation and energy fluctuations of mesoscopic electron resonator with linear dissipation
On the basis of the charge discreteness, the mesoscopic electron resonator with linear dissipation is quantizated. Quantum Kirchhoff equation of the system is given by Heisenberg motion equation, quantum fluctuation of energy is calculated under Fock state. The results show that the quantum Kirchhoff equation and the quantum fluctuations of energy are all related with the property of charge quantization.
Quantum interference in a Λ system using a low frequency driving field
We investigate the quantum interference effects in a cyclic three-level system with a microwave field driving transition between two low levels. By solving the relative density matrix equations of motion, we obtain the absorption profile of the probe field and identify the conditions under which gain may develop. We demonstrate numerically that the absorption line shape depends on the ratio of the intensities of coupling and driving microwave field. When the intensity of coupling field is much weaker than that of driving field, there is a multi-EITs in the probe absorption spectrum. However, if the intensity of both fields is strong, amplification without inversion occurs in different regime of probe frequency. In addition, we predict that larger amplification is obtained when the coupling field is detuned from exact resonance.
An infrared single photon detector based on avalanche photodiodes with transmission lines
Jin-dong Wang, Bing Zhang, Zheng-jun Wei, et al.
A new method to detect infrared single photon using avalanche photodiodes is proposed, which combine the requirements of a single photon detector control circuit and features of the electric pulses generator by transient process of transmission lines. When the terminated boundary conditions of transmission lines are changed quickly, voltage across the switch devices will drop suddenly in the electric pulses generator model and at the same time a signal that can reflect the drive information of the switch device will export from load resistance. So we can replace the switch with avalanche photodiodes who can act as an excellent optoelectronic switch. Then when faint laser pulses enter the avalanche photodiode, resistance of the avalanche photodiode will decrease quickly and with that voltage across avalanche photodiodes will drop simultaneously and a signal that can reflect the single-photon laser pulses will export from the load resistance. The simulation results are given for the new quenching circuit model of avalanche photodiodes and the analyses emphasis on the feasibility of the quenching model and the influence of each electrical component on the performance of the quenching circuit. Finally optimal parameter of the electrical components in the model is demonstrated.
The entanglement of optical NOON state in the damping and thermal noise environment
The evolution of the optical NOON state is investigated. The environments of the evolution are the thermal noise and amplitude damping due to fiber loss. The characteristic function and the density matrix of the time-dependent state are deduced strictly. We calculate the relative entropy of entanglement for the truncated time-dependent state for N=1 case. The phase measurement performance of the damped states is also studied.
Security strategy of EPR type protocol of quantum key distribution
Ekert91 protocol of quantum key distribution is an important protocol of key distribution that based on the quantum mechanics. According to it, we first discuss the variance functions of Bell inequality test and error rate comparison, and then define the sensitivities of two security strategies based on Bell inequality test and error rate comparison respectively. Finally we give out the eavesdropper's optimal attack strategy and compare the sensitivities of two security strategies.
Holographic Data Storage
icon_mobile_dropdown
Multiplexed recording of holograms in doubly doped LiNbO3 crystals
Zhuqing Jiang, Xiong Li, Yanbin Sun, et al.
Two-center holographic recordings of angle-multiplexed holographic patterns are experimentally performed in LiNbO3:Ce:Cu crystals with gating light at 365nm and recording light at 633nm. The noise characteristics of the holograms are analyzed. 50 holograms with almost equal diffraction efficiencies are multiplexed in a LiNbO3:Ce:Cu crystal by using equal-time exposure schedule .The average efficiency reaches 7.64×10-6.
Enhancement of recording and readout for the photopolymer holographic disk system by using a conjugate structure
Liangcai Cao, Zhen Zhao, Huarong Gu, et al.
A conjugate recording/readout method is described for volume holographic disk system. The principle of the coupled wave analysis is given for the dynamic processes of the volume holographic gratings. The formation of gratings under the conjugate method and the corresponding diffraction efficiency are studied experimentally with the cationic ringopening photopolymerization (CROP) material. Results show that the method helps to enhance the holographic recording/readout process with a higher speed. It can also reduce the non-uniform exposure along the beam inside the material. With this conjugate structure, low-power semiconductor laser diodes are applicable and the recording speed is greatly increased, which will promote the compact and commercialization of the volume holographic photopolymer disk system.
Optical Walsh transform based on volume holographic correlator
A coherent optical data-processing method based on volume holographic correlator is described, which has the potential for performing Walsh transform at a high processing rate. The input image and stored images of volume holographic correlator are encoded to represent the data vector and Walsh functions in Walsh transform. And the output of volume holographic correlator can represent the result of Walsh transform. An experiment is carried out, and the correspondency of experimental result and theoretical one proves the effectiveness of the method.
Applications of phase masks in volume holographic data storage system and correlators
Effects of phase masks, used in content-addressable volume holographic data storage system, on the spectrum modulation, image reconstruction, and content search capability are analyzed. We have investigated the influence of varying the pixel structure of phase masks on Fourier-transform holographic recording and reconstruction errors. The effect on reconstruction errors of using a (0, π) two-level phase mask is studied. The case that a single pixel in the phase mask extended to cover four or more pixels in the spatial light modulator (SLM) is discussed. The reconstruction errors of a recorded binary data page and the field amplitude in the Fourier plane are evaluated when the phase mask is misaligned to the SLM. We have also investigated the performance of phase masks in terms of suppressing the diffraction sidelobes which lead to correlation cross talk and sharpening the correlation peaks. The results provide a useful support for the mask design, fabrication and applications in the practical content-addressable volume holographic data storage.
Optical Storage Material
icon_mobile_dropdown
Coherent scattering noise properties of a blue laser sensitized holographic photopolymer material
Yuhong Wan, Shiquan Tao, Duhua Zhuo, et al.
The coherent scattering noise properties were investigated adequately of a blue laser sensitized holographic photopolymer material. The noise gratings formed in this kind of photopolymer material with single-beam exposure was examined. The measurement of bidirectional scattering distribution function (BSDF) was used for reference to study the spatial distribution properties of scatter noise. The experimental results showed that the scattering noise buildup was faster in those photopolymers with higher initial transmittance which owe to more monomer or more photosensitizer dye, and faster buildup of scattering noise is corresponding to larger FWHM (full width at half-maximum of scanning readout) of scattering noise in spatial distribution.
Dibenzotetraaza [14] annulene materials for recordable blue laser optical disc
Yuejing Bin, Fuqun Zhao, Lei Huang, et al.
Phthalocyanine materials have successfully been applied in infrared ray optical disc systems. Seeking for the phthalocyanine-analogous materials with great conjugate macrocyclic π bond system is the key for new materials research of super high density blue laser optical storage. Dibenzotetraaza [14] annulene have the similar macrocyclic structure. It was used as a building block for the preparation of multi-component materials matched the requirement of recordable blue laser optical disc. Ester substituents have been generated with oxalyl dichloride (or phosgene) and appropriate HO-function-containing substrates. A range of new complexes equipped with ester groups derived from various alcohols and phenols have been prepared. The new products have been characterized by UV-Vis spectrometer, TGA, refractive index of the organic films. These kinds of materials have suitable light and thermal sensitivity, and it is a valuable material for blue laser optical storage.
Experimental research on electrically controllable holography in KLTN: Cu crystal
In recent years, doped KLTN (K1-xLixTa1-yNbyO3) crystal in paraelectric state was reported to be a new type of holographic storage medium owning to its great promotion for diffraction efficiency, through quadratic electro-optical effect generated by external electrical field. In this paper, we describe the preparation method of the KLTN crystal in our laboratory and report our preliminary experimental research on electrically controllable holography in a KLTN: Cu crystal. It was found that the writing intensity and polarization of the readout beam affect the diffraction efficiency remarkably, and the voltage selectivity of holograms stored in the crystal was observed, which is potential to increase the storage capacity.
Direct readout based on the UV-Vis spectral changes: toward the most convenient nondestructive data processing
Zihui Chen, Zhongyu Li, Yuejing Bin, et al.
Diarylethene is a promising material for future high-density, high-speed, photo-mode storage because of their intriguing properties such as thermal stability and fatigue resistance. However, the practical application requires more endeavors since there are some flask-necked problems still unsolved. Among these problems, nondestructive readout is the most noticeable though several strategies for circumventing this problem have been proposed. Herein, we reported our work toward the most convenient nondestructive data processing -- UV-Vis readout. For this purpose, a novel family of diarylethene (DTE)-phthalocyanine (Pc) dyad system was designed and synthesized. In these molecules, DTE serve as the "write moiety" while the Pc serve as "read moiety". It is found that the significant change in the extension of linear π-conjugation of the DTE when irradiated by 254 nm UV light directly made the absorption of the Q-band of phthalocyanine decreases. Detecting the absorption changes of the Q-band cannot induce the reversible photochromic reaction. Therefore, non-destructive readout is feasible based on the spectral changes of the Q-band when such materials were used as storage media
Optical Disk Storage System
icon_mobile_dropdown
Optical head design using prism-type holographic optical element for small form factor applications
Hsi-Fu Shih, Yuan-Chin Lee, Yi Chiu, et al.
This paper presents an optical head design that minimizes the component number and miniaturizes the head dimension by using a novel reflective prism-type holographic optical element (PT-HOE) for the next-generation high-density bluelight system with small form factor (SFF). The PT-HOE combines four functions, serving as a folding mirror, a beamsplitter, an aberration correction element, and a servo-signal generation device, which are generally required for a conventional optical pickup head (OPH). It greatly simplifies the system complication and assembly procedure of an OPH. Simulations give the satisfactory results and show the feasibility for realizing a high-density SFF OPH with a simple and compact configuration.
The progress of ultrahigh density near-field optical storage pre-investigated
Shi Pan, Tao Zhang, Shuo Wang, et al.
Ultrahigh density near-field optical storage is pre-investigated in this paper. 1. The design of super- lens and simulation is investigated. 2. The GaAs pyramid micro-tips were grown by the method of selecting Liquid Phase Epitaxy. 3. The storage film of Ge2Sb2Te5 is grown by the magnetron sputtering method on the Si (100) substrates. 4. Several characteristics of the storage film have been measured and analysed.
Modulation codes for multi-level run-length limited read-only optical disc
Hua Hu, Longfa Pan, Yi Ni
A new rate 8/12 4-level run-length limited (2, 9) code with spaced pits/lands constraint has been constructed. The code efficiency is high of 94.0%, and the density ratio is 2.0 bits per minimum recorded mark. The encoder has six states and the decoder window length is only two. This byte-oriented code is very suitable to be applied in practical multi-level read-only optical disc systems.
Analysis and design of suspension wire in the optical pickup actuator
Bu Q. Zhang, Jian S. Ma, Xue M. Cheng, et al.
The lens actuator is the important mechanical-electrical component in the optical disk reading/recording system. According to the error signals from the optical pickup reading/writing process, namely the focusing and tracking error signals, the focusing coils as well as the tracking coils are applied the corresponding corrective current (or voltage), thus, the force generated by the current-on coils in the magnetic field will real-time actuate the objective lens to make the focusing spot precisely fall on the disc information track, realizing the data reading/writing. As wire suspension type, the suspension model determines the wire design process. According to the material mechanics, the force distribution on the wires is analyzed and the four wire suspension model is set up, thus, the wire parameters are designated and the natural frequency as well as the static sensitivity of the moving part can be determined. After serial material experiments using Instron 5848 Microtester, better treatment for the wire fabrication is adopted with 1.5 hour aging and sliver cover-layer. Moreover, the actuator with the suspension wire is tested by the laser vibrometer and results show the good performance of the actuator, which proves the feasibility of the suspension model. Although the suspension model is set up on the four wire suspension actuator used in DVD-ROM, it can also be used in the six wire suspension actuator in the HD DVD and Blu-Ray.
Collimating and beam shaping system for BD optical pick-up
In Blu-ray Disc Optical Pick-up, the collimating and beam shaping play a significant role for laser beam process. So this paper firstly gives out a collimating and beam shaping system according to China manufacture situation. And then based on Laser Optics and Fourier Optics, this paper improves the calculation method of energy coupling efficiency for collimator lens. At last, it builds a simple Interference Instrument which is used for adjusting the collimator lens and beam shaper. This apparatus is built based on the theory of interference theory. The simplest example of an electromagnetic wave is the plane wave. The plane wave is produced by a monochromatic point source at infinity and is approximated by a collimated light source. Here the paper uses this Interference Instrument to assemble the collimator lens with laser diode and check the quality of the beam shaping. Meanwhile this collimating and beam shaping system can be produced easily in China, as it is suitable for Chinese optical element manufacture skill lever and can be precise assembled by using simple instruments. So it will make that producing BD OPU in China with a lower cost comes true.
PRML detection of multi-level run-length limited DVD system
Hua Hu, Longfa Pan, Yi Ni
Multi-level optical recording using run-length-limited (RLL) modulation is a novel method to significantly increase the information recording density without changing optical or mechanical parameters. In this paper, the experimental results of four-level RLL modulation on read-only digital versatile discs (DVD-ROM) are introduced. A new DC-free 4-level RLL (2, 8) code with code rate of 8/10 (bits/symbol) is designed, and a partialresponse maximum-likelihood (PRML) detector is adopted to evaluate the detection performance of multi-level readout signals. By analyzing and comparing the simulation performances of different PRML schemes, we conclude that PR(1, 2, 2, 2, 1) target is preferred to be applied in four-level RLL modulation DVD channels.
Poster Session
icon_mobile_dropdown
Study of the image quality based on MTF in volume hologram storage system
Tao Geng, Da-Bo Liu, Zhi-Yuan Jiang, et al.
The combination of excellent linear, nonlinear properties and good mass productivity of lithium niobate (LiNbO3) single crystals has made them important for extensive industrial applications. However, when LiNbO3 devices, such as frequency doubling converters, waveguide lasers, optical switches, and parametric oscillators, are operated at a high laser intensity, their optical performance is severely restricted by the laser-induced refractive index inhomogeneity, which has been labeled "optical damage" or "photorefraction". Especially, when LiNbO3 crystals are used as holographic storage media, higher light-induced scattering resistance ability can improve the quality of the storage information, suppress the generation of noise, and reduce the bit error rate. There are many factors to influence the bit error rate of read-out image in holographic storage. Above all, SLM and CCD play the key role as well as the signal matching between these devices. In this paper, the output response of CCD to the periodic pixel distribution of SLM is analyzed based on the modulation transfer function theory and numerical simulation method. The influence of fill-factor, contrast and phase matching between CCD and SLM on bit error rate are also studied. Finally, the computer simulation result on bit error is given in this paper.
Computer calculation and simulation in confocal multi-layer optic storage
Pinchun Kang, Jianhuan Zhang
In this paper, a multilayer optical data storage method in which confocal microscopy is used for its optical sectioning is proposed. A confocal microscopy has the ability to collect optical sections from thick specimens, the key to the confocal approach is the use of spatial filtering (pinhole) to eliminate out-of-focus light or flare in specimens that are thicker than the plane of focus. For this reason the confocal multi-layer technology is promising as a new multi-layer optic storage method, in which when a layer has been chosen by being focused with the laser beam, the light from other layers in a certain distance-always tens of microns-will not be propagated through the pinhole and detected by a sensitive sensor. This means the information recorded in different layers can be read separately, the interference between layers is greatly suppressed. The properties of multilayer memory based on confocal microscopy are investigated on the basis of vector theory. The algorithms for calculation of field intensity in a focused laser spot and focused beam propagation through multilayer structure have been elaborated. The developed algorithms are applied for investigation of properties for multilayer recording based on confocal microscopy. The numerical simulation of optical properties of a multilayer optical data storage system with three layers has been shown in the paper. We used pits and bumps to record information. The results of the experiment and the conclusion are obtained finally.
A photopolymer material sensitized by acridine orange for holographic storage
Daoguang Tang, Yong Xiao, Ruoping Li, et al.
We prepared a photopolymer material sensitized by acridine orange for high-density holographic storage. The absorption peak of this sample is near 490nm, which is especially appropriate for using 488nm exposure wavelength of Ar+ laser for holographic recording. We illuminated it with three exposure wavelengths (476nm, 488nm and 496nm) and the experimental results show that the maximum diffraction efficiency is 52%. Some holograms stored in this medium can be reconstructed clearly. It is found that this photopolymer is appropriate for high-density volume holographic storage.
Tunable superresolution in the super high-density optical storage
Maojin Yun, Mei Wang, Weijin Kong
Superresolution plays an important role in the super high-density optical storage systems. With the superresolution technology the storage capacity can be increased by the decrease of the Airy spot. General the optical superresoluiton is realized by inserting the conventional superresolution pupil filters in the optical system. But there lies an obvious limitation in the conventional pupil filters. It is that once the pupil filter is fabricated, the corresponding superresolution characteristics can not be changed. In order to overcome this drawback, a new set of pure phase filters for realizing the tunable transverse superresolution is presented in this paper. The filters, whose significant feature are their ability to tune and simplicity, consist of one half-wave plate between of two quarter-wave plates, and the half-wave plate is made of two zones that can rotate with respect to each other. By rotating any zone of the half-wave plate, the central lobe width of the irradiance point spread function (PSF) in the transverse direction can be tunable reduced.
Investigation of structure and optical properties of Nd, Zn double-doped LiNbO3 crystals
Tao Zhang, Xiao-Qi Liu, Tao Geng, et al.
A serial of Nd, Zn double-doped LiNbO3 crystals were grown. The dependence of structure on the concentration of ZnO was investigated on the basis of analysis of UV-visible absorption spectra and IR transmittance. In addition, the photoconductivity and the loss of signal-to-noise-ratio coefficient of these as-grown crystals were also measured in terms of two wave coupling. Finally, one of Nd, Zn:LiNbO3 crystals was applied to holographic associative memory system, and excellent output performance was gotten. The results indicate that Zn doping with various concentration in Nd:LiNbO3 crystal change the defect structure, especially increase the photoconductivity, and therefore efficiently suppress the noise generation.
Optimization of the geometrical shape of the aperture in holographic data storage system
Huarong Gu, Songfeng Yin, Qiaofeng Tan, et al.
A cross-shaped aperture is proposed for the Holographic Data Storage System (HDSS). Based on the non-symmetric HDSS model, numerical simulations are carried out to compare the sensitivity to pixel shift, magnification error and noise level of the cross-shaped aperture with the ordinary square aperture. The simulation results show that equivalent or lower bit error rate can be achieved with the optimized cross-shaped aperture than that with the square aperture, while the area of the cross-shaped aperture is 20 percent less than the corresponding square aperture. Thereby the multiplexing spacing can be reduced and the areal density can be increased in HDSS. Experimental results of the performances of the cross-shaped aperture from a custom-built HDSS are presented.
High accurate volume holographic correlator with 4000 parallel correlation channels
Volume holographic correlator allows simultaneously calculate the two-dimensional inner product between the input image and each stored image. We have recently experimentally implemented in VHC 4000 parallel correlation channels with better than 98% output accuracy in a single location in a crystal. The speckle modulation is used to suppress the sidelobes of the correlation patterns, allowing more correlation spots to be contained in the output plane. A modified exposure schedule is designed to ensure the hologram in each channel with unity diffraction efficiency. In this schedule, a restricted coefficient was introduced into the original exposure schedule to solve the problem that the sensitivity and time constant of the crystal will change as a time function when in high-capacity storage. An interleaving method is proposed to improve the output accuracy. By unifying the distribution of the input and stored image patterns without changing the inner products between them, this method could eliminate the impact of correlation pattern variety on calculated inner product values. Moreover, by using this method, the maximum correlation spot size is reduced, which decreases the required minimum safe clearance between neighboring spots in the output plane, allowing more spots to be parallely detected without crosstalk. The experimental results are given and analyzed.
Focus servo subsystem design for hybrid magneto-optical recording testing system
Qing Zhu, Wendong Xu
Astigmatism method was employed to detect focus error signal in hybrid recording testing system. Frequency characteristics of piezoelectric nano-positioner were measured, based on which we designed focus servo controller using available frequency-domain integrated correction method. The requirement for disk vertical deviation is also discussed according to system expected characteristics. Then we choose air bearing turntable motor to meet the disk deviation requirement.
Embedded system of image storage based on fiber channel
Xiaodong Chen, Wanxin Su, Zhongbao Xing, et al.
In domains of aerospace, aviation, aiming, and optic measure etc., the embedded system of imaging, processing and recording is absolutely necessary, which has small volume, high processing speed and high resolution. But the embedded storage technology becomes system bottleneck because of developing slowly. It is used to use RAID to promote storage speed, but it is unsuitable for the embedded system because of its big volume. Fiber channel (FC) technology offers a new method to develop the high-speed, portable storage system. In order to make storage subsystem meet the needs of high storage rate, make use of powerful Virtex-4 FPGA and high speed fiber channel, advance a project of embedded system of digital image storage based on Xilinx Fiber Channel Arbitrated Loop LogiCORE. This project utilizes Virtex- 4 RocketIO MGT transceivers to transmit the data serially, and connects many Fiber Channel hard drivers by using of Arbitrated Loop optionally. It can achieve 400MBps storage rate, breaks through the bottleneck of PCI interface, and has excellences of high-speed, real-time, portable and massive capacity.
Leading Edge Optical Lithography
icon_mobile_dropdown
Evolution as applied to optical lithography
The recent advancement of water immersion technology into lithography for 193nm wavelengths has allowed the numerical aperture (NA) of lithographic lenses to exceed 1.0 or a hyper-NA region. This allows resolution limits to extend to the 45nm node and beyond with NA>1.35. At these extreme NAs, the imaging within the photoresist is accomplished by not only using water immersion but also using polarized light lithography. This paper will show how the advances in current state-of-the-art in immersion, hyper-NA lithography have been preceded by extraordinary evolutionary behavior in lenses and imaging. We show the latest results and discuss the various phenomena that may arise using these systems show possible evolution paths in immersion lithography. We discuss and analyze the various issues with Hyper-NA technology that may force a paradigm change from single exposure imaging to multiple patterning techniques. In addition, we show that the future of optical lithography is likely to go well beyond the 30nm regime using advancements in 193nm double-patterning technology and/or the use of extreme ultra-violet (EUV) optical systems.
The contact hole solutions for future logic technology nodes
Alek Chen, Steve Hansen, Marco Moers, et al.
The authors will explore the possible contact hole lithography solutions for the future technology nodes, from 90 nm down to 32 nm half-pitch (HP) in this paper. The special emphasis will be on the logic application because of the lack of a strong resolution enhancement technique (RET) for the random hole layouts. The use of illumination optimization, focus drilling can extend the projection optical lithography down to near 60 nm HP. The adoption of pitch split double exposure technique is needed to provide a robust manufacturing process window to further extend to around 50 nm HP. To further shrinking the design rule, a double patterning is need after the pitch split. The pitch split double patterning technique reaches its limit around 40 - 45 nm HP. The desire to not limit the integrated circuit (IC) design requires the lithography process k1 to be as high as possible. The random logic contact hole application is well suited for EUV lithography for 35 nm HP and below because of the high k1 process and a potential for high productivity of a mask based lithography. The pattern density of contact hole masks would not require a stringent mask defect requirement, and moreover, the EUV's relatively higher system flare does not have a significant impact on imaging. Actual EUV data and calibrated simulations will be used to demonstrate that EUV can provide a robust process window.
Impact of source polarization on the imaging of line and space features at 45nm half pitch node
Polarization studies become increasingly important as optical lithography is being pushed into 45nm half pitch node. Some image simulations and experimental exposure results confirmed the advantage of the polarized illumination for high NA imaging of dense line/space (L/S) patterns. However, the imaging of semi-dense and isolated features with polarized illumination has not yet been fully investigated. In this paper the imaging of L/S patterns with different duty cycles was fully studied at 45nm half pitch node for varied polarized illumination modes. The lithography performances were then analyzed. The results show that the use of polarization that is parallel to the line orientation, i.e. the Y direction, improves lithography performances, while the use of polarization that is perpendicular to the line orientation, i.e. the X direction, deteriorates lithography performances. It is especially true for not only dense but also semi-dense and isolated features. The use of TE or TM polarization will not result in remarkable improvements of lithography performance when employing a combination of conventional illumination (CI) and alternative phase mask (altPSM). In case of the combination of dipole or cross-quasar (C-Quad) illumination and attenuated phase mask (attPSM), however, TE polarization will behave like Y polarization and TM polarization behave like X polarization. Compared with the imaging of dense and isolated features, the imaging of semidense features can have better process capability. The total image performance will be more desirable in the case applying CI and altPSM (or dipole and attPSM) than that in the case applying C-Quad and attPSM.
Computational Lithography and OPC
icon_mobile_dropdown
Computational lithography: the new enabler of Moore's Law
There have been many pronouncements about the slowing down of Moore's Law. Human enterprise, however, has managed to disprove these dim prophecies by producing ingenious solutions on a regular basis, to allow Moore's Law to continue its unabated march. The goal of this paper is to suggest that the innovation pipeline is rich enough to maintain this trend for the foreseeable future. One such innovation, involving pixelated masks, was announced by Intel in June 2007. This technology was created to address the problem caused by the growing gap between the lithography wavelength and the feature sizes patterned with it. As this gap has increased, the quality of the image has deteriorated. About a decade ago, Optical Proximity Correction (OPC) was introduced to bridge this gap, but as this gap continued to increase, one could not rely on the same basic set of techniques to maintain image quality. The computational lithography group at Intel sought to alleviate this problem by experimenting with additional degrees of freedom within the mask. This paper describes the resulting pixelated mask technology.
A novel method for measuring the coma aberration of lithographic projection optics by relative image displacements at multiple illumination settings
Qiongyan Yuan, Xiangzhao Wang, Zicheng Qiu, et al.
As the critical dimension shrinks, deterioration of image quality caused by coma aberrations of the lithographic projection optics has become a serious problem in optical lithography. Fast and accurate in-situ measurement techniques for measuring the coma aberration are necessary. In the present paper, we propose a novel method for measuring the coma aberrations of lithographic projection optics by use of a novel mark, which is composed of two fine-segmented phase-shifting gratings and two sufficiently large binary gratings. The coma aberration is extracted from the relative displacements between the phase-shifting gratings and the binary gratings at multiple illumination settings. The PROLITH simulation results show that compared with the TAMIS technique, the measurement accuracy of coma aberration increases by more than 34% under conventional illumination, and the measurement accuracy of low-order coma aberration increases by more than 28% under annular illumination.
Free-standing SU-8 gratings fabricated by sacrificial layer-assisted UV curing imprint
We present results on the nanofabrication of high density patterns in SU-8 resist, based on nanoimprinting combined with UV curing. The bilayer process using PMMA as sacrificial layer was developed to release the SU-8 layer to form three dimensional structures. The SU-8 displays excellent imprint property and well defined patterns are achieved at at low temperature, low pressure after demolding process. Using this technology, 300nm period SU-8 subwavelengh gratings and nanochannels were fabricated on flat substrate with good fidelity. This sacrificial layer-assisted UV curing imprint technology offers versatility and flexibility to stack polymer layers and sealed fluidic channels.
Advances in OPC technology and development of ZOPC tool
Xiaolang Yan, Zheng Shi, Ye Chen, et al.
As the most important RET (Resolution Enhancement Technology), OPC (Optical Proximity Correction) technology has been widely used in today's IC manufacturing and is still developing very fast both in its principle and its practice. In this invited paper, key techniques of OPC are classified and overviewed; progresses of OPC technology in recent years published in major SPIE symposiums are reviewed as well. Recent research results produced by Zhejiang University's team are described and reviewed with highlighting. An OPC tool suite named ZOPC, which has been designed to enable new OPC techniques to be integrated into one platform, is presented. The framework of ZOPC as well as its working scheme is demonstrated with real examples.
Lithography options for the 32nm half pitch node and their implications on resist and material technology
Roel Gronheid, Kurt Ronse
There still remain three major technological lithography options for high volume manufacturing at the 32nm half pitch node: 193nm immersion lithography with high index materials, enabling NA>1.6; 193nm double patterning and EUV lithography. In this paper the pros and cons of these three options will be discussed. Particular interest will be paid to the consequences of the final choice on the resist technology. High index 193nm immersion lithography also requires high index resist materials, which are under development but still far removed from the target refractive index and absorbance specifications not to mention lithographical performance. For double patterning the pitch may be relaxed, but the resists still need to be able to print very narrow lines and/or trenches. Moreover, it would be preferred for the resists to support pattern or image freezing techniques in order to step away from the litho-etch-litho-etch approach and make double patterning more cost effective. For EUV the resist materials need to meet very aggressive sensitivity specifications. In itself this is possible, but it is difficult to simultaneously maintain performance in terms of resolution and line width roughness. A new parameter (KLUP) for assessing resist performance in terms of these three performance criteria will be introduced.
New-generation Lithography Technologies
icon_mobile_dropdown
Phase-type focusing grating based on micro-optics technique
Changbao Hu, Zhenjiang He, Yiping Xu, et al.
Considering current Micro-optics technology and using Fresnel diffraction principle and scalar diffraction theory, we design a phase-type focusing grating. It has the function of dispersion and focusing spectrum line. It is the result of integrating phase Fresnel zone plate and the scalar phase grating on the two parallel surface of a piece of fused silica substrate. This design can be used to predigest the light path of the monochromator, making it miniaturization and even micromation. The grating has higher diffraction efficiency. It can also overcome the low diffraction efficiency of conventional grating for the existing of multilevel diffraction. Choosing parameter is the key of designing phase-type focusing grating. Some key parameters are selected on the base of intensively analyzing diffraction optics theory, then the correlation parameters are worked out, and three pieces of binary optic mask zone radii are computed by using MATLAB.
Research on the filter system of making diffractive micro-lens
Chunhua Sun, Yiqing Gao
With the development of optical micro-machining technology, micro optical elements, especially diffractive micro lens are widely applied more and more in the fields of fiber communication, airborne, optical storage, optic information processing, micro optical sensor and so on. In the ways of fabricating micro optical elements, gray-scale mask method is much studied and promising. On the basis of digital gray-scale mask technique, a new method, namely digital rotating mask method used to fabricate diffractive optical elements, is presented in this paper. Applying reduction projecting system based on digital micro-mirror device (DMD), digital gray-scale mask technique and filter system, using the output image of DMD to be equivalent to the gray-scale mask, and through the system the equivalent gray-scale will be projected and imaged on the substrate coated with photo-resist. Finally, the DOEs such as Fresnel zone plate and large-numerical-aperture diffractive micro lens can be obtained after reduction exposure, development, fixation, and etc. In the paper, the design theory and method of Fresnel diffractive micro is simply introduced. The main party is the construction and the function of low-pass filter in the projecting system. Through comparing the relevant micro optical elements, the importance of the filter is tested.
Metrology for Lithography
icon_mobile_dropdown
The challenges of transitioning from linear to high-order overlay control in advanced lithography
M. Adel, P. Izikson, D. Tien, et al.
In the lithography section of the ITRS 2006 update, at the top of the list of difficult challenges appears the text "overlay of multiple exposures including mask image placement". This is a reflection of the fact that today overlay is becoming a major yield risk factor in semiconductor manufacturing. Historically, lithographers have achieved sufficient alignment accuracy and hence layer to layer overlay control by relying on models which define overlay as a linear function of the field and wafer coordinates. These linear terms were easily translated to correctibles in the available exposure tool degrees of freedom on the wafer and reticle stages. However, as the 45 nm half pitch node reaches production, exposure tool vendors have begun to make available, and lithographers have begun to utilize so called high order wafer and field control, in which either look up table or high order polynomial models are modified on a product by product basis. In this paper, the major challenges of this transition will be described. It will include characterization of the sources of variation which need to be controlled by these new models and the overlay and alignment sampling optimization problem which needs to be addressed, while maintaining the ever tightening demands on productivity and cost of ownership.
Bare wafer metrology challenges in microlithography at 45-nm node and beyond
The shrinking depth of focus (100-150 nm) of high numerical aperture immersion microlithography optics dictates a tight wafer flatness budget. Wafer flatness nanotopography (NT), and edge roll off (ERO) are critical parts of the equation in immersion microlithographic technology at the 45 nm node and beyond. Wafer features at the nanometer level could result not only in focus variation of the litho process, or thin film thickness variation in CMP process, but also in structural defects of the devices. Therefore, the metrology to measure nanometer level features and to control the quality of wafer geometry is a key to the success of IC production at the 45 nm node and beyond.
Poster Session
icon_mobile_dropdown
Slope-integrated methodology for OPC model calibration
As the semiconductor industry scales down to 90nm and below, Model-Based OPC has become a standard practice to compensate for optical proximity effects and process variations occurring when printing features below the exposure wavelength. For parametric OPC models, it is assumed that the empirical data are accurate and the model parameter space is sufficiently well sampled. In spite of advanced metrology tools, the measurement uncertainty for 1D small critical dimensions and 2D patterns remains to be a challenge. Traditionally, the weight of SEM measurement points are based on either statistical method such as standard deviations, or engineers' judgment, which is either time consuming or individual-dependent. In this paper, the slope-integrated OPC model calibration methodology is proposed, which takes into account the slope as a weighting indicator. The additional measurement objects per calibration structure are economically feasible, as most metrology tool time is spent on addressing and auto-focusing. When we consider one measurement point with both CD and slope measurements, the slightly increased time is tolerable for FAB, which requires a short turn around time (TAT). By this approach, we can distinguish measurement points with low confidence from those accurate ones. Furthermore, we check the fitting differences among equal-weighted data sheets, empiricalweighted data sheets and slope-weighted data sheets, by using the same variable threshold model form. From the edge placement error (EPE) of fitting results and the overlap between simulated contours and SEM images, it is found that the proposed slope-integrated methodology results in a more accurate and stable model.
Layout optimization for thick-film resist overlay metrology
Liang Zhu, James Li, Brian Zhou, et al.
Design for Manufacturing (DFM) is being widely accepted as one of the keywords in cutting edge lithography and OPC technologies. DFM solutions impact the design-to-silicon flow at various stages, often during different time-point in the product life cycle, and often with both process equipments and metrology tools. As the design rule shrinks and mask field size increases, tighter specifications are applied on non-critical layers, including thick implant resist typically with thickness of 3.0um and above. Various functions, as Enhanced Global Alignment (EGA), Super Distortion Matching (SDM), and Grid Compensation for Matching (GCM), are widely used to achieve improved overlay accuracy. However, poor uniformity for CD and overlay was observed for thick resist implant layers. Systematic uncorrectable overlay residue was observed from the overlay map. Cross-section analysis shows asymmetric resist profile existed, causing inaccurate signal reading during the measurement. Although there are some recent researches focusing on CD-SEM metrology of overlay residue, overlay tools in current foundries are mainly optical-based ones, which are limited by the optical resolution. Instead of locally focusing on the manufacturing, an innovative methodology is proposed in this paper, by applying the newly designed overlay marks to solve this manufacturing problem. From the comparison of overlay performances between the proposed layout and the original design, it is shown that the taper asymmetry induced errors are significantly reduced.
Study on the MEMS technique of flat capacitance sensor with double equipotential rings in ultra-precise optical measurement
Geometric measurements based on optical methods have been studied, and the capacitance sensor is applied to detect the focusing displacement. In order to improve the edge effect of the flat capacitance sensor, an equipotential ring is used. According to the Abbe principle, a double equipotential rings flat capacitance sensor with a central hole is developed. The axis of the optical system perforates through the hole of the sensor, thus avoiding the Abbe error. In order to get narrow gap between the equipotential ring and the electrode as well as thin electrodes, MEMS technique is applied to machine the capacitance electrodes, then the gap between the ring and the electrode can be no more than 10μm, meanwhile the measurement accuracy can be promoted greatly. This paper emphasizes on the design of structure flat capacitance sensor and the manufacture of double rings capacitor with MEMS technique. After completing the circuit and finishing the experiment for testing the sensor, it can make further qualitative analysis on the result of the linearity and the sensitivity.
Analysis of property of HSQ in electron beam lithography
Hydrogen silsesquioxane (HSQ) is a kind of inorganic negative-tone resist for electron beam lithography with high pattern resolution of about 5 nm. It is a kind of promising resist used in fabrication of nanostructures such as transmission grating (TG), dots array, and chiral structures. But the poor sensitivity limits the extensive application of HSQ. And the property of HSQ in electron beam lithography is also studied little before. In this paper, from the viewpoint of chemical structure the property of HSQ in electron beam lithography has been proposed and experiments have also been presented with the variety of the exposure dose and development conditions. It is proved by experiments not only the sensitivity and contrast of HSQ but also the influence of proximity effect can be modulated by changing the baking temperature and concentration of developer with the same exposure conditions. 100 nm lines at 200 nm pitch grating patterns with excellent vertical side-wall and line-edge roughness have been achieved in more than 450 nm thickness HSQ layer by increasing the concentration of developer and reducing the baking temperature in combination with optimization of exposure conditions.
Additional Paper
icon_mobile_dropdown
Analysis of the resolution doubling technique of high-resolution gratings by x-ray lithography
Grating patterns with approximately 150 nm period were achieved by X-ray lithography with a single exposure through a 300 nm period grating mask, which was manufactured by e-beam lithography. BPM simulation of the X-ray propagation through the mask structure, which acts here in a way much like that of a wave guide with many layers, was carried out. Considering also the light propagation in the uniform space between the mask and the wafer, preferable parameters of the optical setup, such as the exposure dose, the distance between the mask and the wafer, and resist thickness, are suggested and their process windows are discussed. The dependence of the resulted pattern profiles on the mask design is analyzed and an optimized design of the mask grating is presented for this process. By carefully choosing the process parameters, the doubling of grating resolution by X-ray lithography can be expected under precise control.