Proceedings Volume 6617

Modeling Aspects in Optical Metrology

cover
Proceedings Volume 6617

Modeling Aspects in Optical Metrology

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 18 June 2007
Contents: 9 Sessions, 42 Papers, 0 Presentations
Conference: Optical Metrology 2007
Volume Number: 6617

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6617
  • Optical Systems
  • Optical Wave Propagation
  • Interferometry and Phase Retrieval I
  • Interferometry and Phase Retrieval II
  • Maxwell Solvers
  • Modeling in Semiconductor Metrology
  • Scatterometry
  • EUV Scatterometry
Front Matter: Volume 6617
icon_mobile_dropdown
Front Matter: Volume 6617
This PDF file contains the front matter associated with SPIE Proceedings Volume 6617, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.
Optical Systems
icon_mobile_dropdown
Simulation of the detectors response of an autocollimator
A detection of the lateral position of a test pattern imaged onto a position sensitive detector is quite commonly used in a variety of different measurement applications. In the case of an electronic autocollimator, the position of the image of a reticle is determined to measure small angle changes of a tilted surface. In many cases CCD matrix or CCD line detectors are used as position sensing devices. Two different procedures for the detection of the position of the test pattern are compared taking into account modulations of the obtained image signal, caused by diffraction. Differences between the detection of the arithmetic mean value and the geometrical mean value of the intensity will be analyzed. The influence of different fill factors of the detector elements is calculated. To avoid unwanted modulations of the signal a suitable method or algorithm for the detection of the position as well as an optimized layout of the reticle has to be chosen. Binary and phase shifting reticle designs will be compared. It is shown that an optimized layout is able to largely compensate modulations while the image is laterally shifted in the plane of the detector. Due to a step by step description of the image formation and signal analysis the example given will be also of practical use.
Capabilities and limitations of paraxial operator approach for modeling of nano-scale feature evaluation
Alexander Normatov, Boris Spektor, Joseph Shamir
The interaction of light with nano-scale features is usually associated with rigorous vector modeling or other computation intensive method. It turns out, however, that several interesting cases can be analyzed by a model based on scalar, paraxial operators. Good correspondence was found between this theoretical model and experimental investigation. In our work, the capabilities of scalar, paraxial operator approach are discussed for the cases of Dark beam and Gaussian beam scanning microscopes. Fundamental limitations of the approach are outlined as well. The sensitivity of the Dark beam scanning microscope was compared for the real experimental procedure and the idealized theoretical model which indicated a potential of 1nm sensitivity.
Modeling image formation on pixelated devices for vision systems using wave-front coding
Salvador Bosch, Francesc Gómez-Morales, Guillem Carles, et al.
Wave-front coding techniques are being used nowadays in vision systems to obtain invariance to aberrations and, especially, extended depth of focus capabilities. Besides using a phase mask for coding, one of the basic steps of the method is the digital processing of the images captured by means of a pixelated sensor (for example a CCD device). This capture process can become crucial for the overall performance of the procedures, since the effects due to the averaging within a pixel and to the related noise inherent to the detection can be indeed the most determinant ones. This work presents a simulation tool for fully assessing the role of a pixelated sensor in a vision system working by wave-front coding techniques, including diffractive effects, the averaging in detection, the modeling of the noise that might be added and the influence in the restoration algorithm. The numerical tool computes (in order): diffraction during image formation, averaging at the pixels and digital image processing. Similarly, noise could be added to the detection as well as other effects influencing the final image quality. The influence of these topics in the design of the phase masks is analyzed for several cases. Our results show that the pixelated character of the detector can not be considered a final refinement only and can not be obviated in the design stage of phase plates for wave-front coding.
Lateral shear and digital holographic microscopy to check dynamic behaviour of biological cell
Quantitative Phase Microscopy (QPM) by means of Lateral Shear Interferometry (LSI) is presented as a metrological tool to investigate dynamical behaviour of living cells. QPM achieved by a Digital Holographic Microscope (DHM), in transmission geometry, allows to reconstruct object shape from the numerical reconstruction of the complex field transmitted by the object. This geometry is useful in special case of biological material because they present an amplitude contrast too small for quantitative amplitude microscopy. DHM realized with a Mach-Zehnder interferometer provides the object phase map which is simply related to the object height. This technique gives a detailed map of the internal structure of the cell. The main trouble in QPM is the removal of the aberration due to the optical setup. Usually, in DHM the aberrations are eliminated by subtraction of a reference phase map (acquired without sample cell) from the object phase map. We propose a method, based on LSI, for the aberration removal that avoids this double exposure and makes use of the single object hologram. The reconstructed wavefront and its shifted replica are subtracted to obtain a shearogram from which the phase map of the object can be completely retrieved. Both shifted replica and subtraction are processed numerically. This method allows to remove optical aberrations more efficiently and faster than other conventional techniques and provides real time monitoring of cell samples.
MTF assessment of dual waveband diffractive lenses
The performance of bi-spectral diffractive lenses for MWIR and LWIR is shown. The effect of ghost light produced by third order will enhance the importance of the reference wavelength (λ0) in the design of the diffractive surface.
The influence of target distance to lens distortion variation
Using wide angle lenses images usually suffer from lens distortion. This distortion must be corrected if the images are used for measurements, e.g. for the solution of photogrammetric tasks. If the distance between the lens and the measuring object is short, the distance dependence of lens distortion must be considered, too. This fact is often neglected in recent work. However, disregarding the distance dependence of lens distortion leads to considerable measuring errors in optical measuring systems. The amount of the distortion may change in a range of a few centimetres distance variation up to a scaling factor of 1.6. In this paper two new models describing distance dependent lens distortion are introduced. The first is based on a 3D matrix of distortion vector representatives. The main task of the connection of several 2D distortion matrices to a common 3D model is the normalized connection of the separately produced 2D distortion matrices. The second one is realized by a functional description of the lens distortion depending on three parameters in the image space. Actually occurring distance depending lens distortion of different camera systems is shown and several application results illustrate the potentialities of the new correction procedure.
Numerical simulation tool for synthetic speckle pattern images and their intensity-based integration under variable conditions for metrology applications
Falko Riechert, Georg Bastian, Uli Lemmer
In many metrological applications speckle can be used to determine surface properties where several complex effects and parameters are of concern. However, an analytical modelling of the decorrelation of speckle patterns created e.g. by two wavelength illumination of a surface is possible only for Gaussian-distributed surface height values and in illumination regimes where the separation of the two illumination wavelengths is much smaller than the mean value of the two wavelengths. Depending on the fabrication process of the illuminated surface the statistical distribution of the surface height values can differ significantly from a Gaussian distribution. We present a numerical simulation tool for the synthetic generation of laser-speckle patterns which a non-imaging observer (e.g. a bare CCD-chip) detects in the farfield of an illuminated surface. We investigate for which illumination wavelengths separation the analytical model is valid with a centre wavelength of 650 nm and compare simulated speckle images originating from surfaces with equal- and Gaussian-distributed height values under two-wavelength illumination. We show which differences from the analytical model for the correlation of the two emerging speckle images occur and consider the implications for an experimental situation.
Modeling of image formation of a low-cost white-light bench microscope with a linear CMOS image sensor: its application in metrology
Milton P. Macedo, António J. Barata, Ana G. Fernandes, et al.
Three-dimensional (3D) microscopy techniques are essentially imaging methods but owing to its ability to get depth information they are widely used in optical metrology. Although the fact that there are numerous examples of applications of confocal microscopy for metrology systems those 3D techniques that more recently came up are very sparse in this field despite its similar optical sectioning ability. In particular there is no report in literature to our knowledge of metrology systems taking advantage of the low-cost, versatility and easy integration of CMOS linear image sensors. We have developed a bench-microscope prototype using LIS-1024 linear sensor (Panavision ©) and have built a model of microscope image formation using Matlab ((Mathworks ©) that is currently being tested in order to optimize sensor performance. The prototype comprises commercial and low-cost mechanical and optical components and a developed sensor readout board based on PIC16F876 microcontroller (Microchip Inc.) allowing its integration in the optical setup. This stage-scanning microscope builds the image through the movement of the specimen in one lateral, in opposition to confocal microscopy that demands scanning in both lateral directions, and in axial direction. Both the actuators control for positioning the object stage and the sensor readout for image acquisition are commanded from a Matlab application in a PC. This paper aims at showing the effectiveness of this model of image formation for the application of this low-cost prototype using a linear sensor also in metrology field. Results will be presented from tests that are being carried out with micromachined components.
Modeling of propagation of ultrashort light pulses in optical systems
Ultrashort light pulses are distorted in optical systems due to a different magnitude of the phase and group velocity of the wave. Our work is focused on the analysis of the problem. The presented work provides a theoretical analysis of the influence of the imaging optical systems on the transformation of the light pulse that propagates through such systems. It is derived the theoretical formula for calculation of the change of wave aberration of the optical system in dependence on the frequency of light passing through the optical system. New relations are described that enable to calculate the complex amplitude of the wave field transformed by the optical system with aberration. These relations are valid even for the systems with a large numerical aperture and are not restricted to the paraxial or the third order aberration space.
Optical Wave Propagation
icon_mobile_dropdown
Multiple multipole program analysis of metallic optical waveguides
Christian Hafner, Xudong Cui, Andre Bertolace, et al.
The focus of this paper is on the numerical analysis of ultra-small metallic waveguides at optical wavelengths that is very demanding 1) because the cross sections may be much smaller than the wavelength, 2) because strong plasmon-polariton effects must be accounted for, and 3) because of strong dispersion and material loss. After a short outline of available numerical methods with focus on eigenvalue solvers, the Multiple Multipole Program (MMP) - that is applied for obtaining the results shown in this paper - is outlined. Since the analysis of metallic waveguides leads to difficult complex eigenvalue problems, several techniques for solving such problems are introduced. Based on these procedures, simple plasmonic wires, metallic wires coupled with a dielectric fiber, partially coated optical fibers, and metallic waveguides with tiny V-grooves of only a few nanometers are analyzed. The impact of the material properties is demonstrated by comparing gold and silver wires with V-grooves. It is shown that such structures may exhibit Channel Plasmon-Polariton (CPP) modes with acceptable propagation lengths even when the grooves are only a few nm deep, but only within a narrow frequency range and only for metals with low loss in the desired frequency range. These modes show a strong field confinement within the groove that might be attractive for sensor applications. Furthermore, the partially coated optical fiber is attractive for optical nearfield microscopy and exhibits field enhancement due to wedge plasmon polariton and triple-point plasmon polariton effects.
Sharp trench waveguide bend with photonic crystals: simulation, fabrication and characterisation
Xudong Cui, Christian Hafner, Franck Robin, et al.
In this paper, a three dimensional analysis of an ultra-compact sharp trench waveguide bend structure modified with small local photonic crystals is presented. The structure used here is based on a previous proposal [1]. To fully investigate the behavior of the structure, especially mode coupling and off plane radiation losses - (that are ignored in two dimensional calculations [1]) as well as the limitations caused by the effective index approximation in the two-dimensional (2D) simulations, we simulated realistic three dimensional structures using the finite integration technique. Furthermore, a structure on an InP substrate is compared with a Si/SiO2 based structure in order to check the influence of vertical index contrast on the device performance. One of the structures based on InP substrate was then fabricated and characterized to validate our conceptual predictions.
Frequency-domain simulations of optical antenna structures
Christian Hafner, Xudong Cui, Andre Bertolace, et al.
Optical antennas consisting of metallic parts are analyzed using the Multiple Multipole Program (MMP), a semi-analytic boundary discretization method. It is demonstrated that difficult numerical problems are caused because optical antennas exhibit strong material dispersion, loss, and plasmon-polariton effects that require a very fine discretization. In addition to standard dipole-type antennas, consisting of two pieces of metal, a new structure consisting of a single metal piece with a tiny groove in the center is analyzed. This structure takes advantage of the Channel Plasmon-Polariton (CPP) effect and exhibits a strong enhancement of the electric field in the groove. Furthermore, the groove type antenna exhibits two resonance peaks when its dimension is much smaller than the wavelength. It is demonstrated that the strengths and locations of the resonance peaks may be tuned within some range by tuning the length of the antenna.
Apodised fibre Bragg grating design for gain flattening of EDFA
Anubhuti Khare, Arun Khare
As more demands are made on telecommunications and other applications of photonics such as sensing, demand for the complexity and functionality of the interconnecting devices increases. Fibre Bragg Gratings (FBG) for optical filters and switching are an inherent part of such systems. In this paper we report the experimental study of gain of telecommunication Erbium Doped Fiber Amplifier (EDFA). For particular pump power, the gain of Erbium Doped Fiber Amplifier for the wavelength range of 1529 nm - 1559 nm was measured and found that the gain of the Erbium Doped Fiber Amplifier is very uneven exhibiting peaks with different widths around 1532 nm and 1550 nm. On analysis of the results, filter of desired characteristic is suggested which could support for flattening the gain of EDFA for fixed pump power, so that the EDFA could be used for WDM applications. Three parameters which described the apodised grating profile were used to define the search space and the transfer matrix method is used to numerically evaluate the transmission spectrum.
Scattering at silver-enhanced gold particles inside subwavelength-apertured metallic layers
The scattering and absorption behavior of arbitrarily shaped metallic particles placed inside cylindrical holes in metallic layers is investigated numerically, including material dispersion properties and using rigorous coupled wave analysis (RCWA). Design parameters resulting in strong scattering are identified for some special geometries. For dielectric and metallic spheres, where analytical solutions of single scattering of a single sphere are known ("MIE" scattering), the numerical methods are verified to yield correct results.
Computer and experimental modeling of light scattering at random and fractal surfaces
New feasibilities are considered for optical correlation diagnostics of rough surfaces with different distributions of irregularities. The influence of deviations of the height surface roughness distribution from a Gaussian probability distribution on the accuracy of optical analysis is discussed. The possibilities for optical diagnostics of fractal surface structures are shown and the set of statistical and dimensional parameters of the scattered fields for surface roughness diagnostics is determined. Finally, a multifunctional measuring device for estimation of these parameters is proposed.
Interferometry and Phase Retrieval I
icon_mobile_dropdown
Effect of broadband illumination on reconstruction error of phase retrieval in optical metrology
Phase retrieval is a promising method for optical system and surface metrology that makes use of intensity measurements of diffraction patterns. An iterative algorithm is used to solve the inverse problem to find the phase of the field producing the measured intensity distributions. For practical reasons, such as the reduction of coherent artifacts or to improve the signal-to-noise ratio of the measured data, it is often desirable to measure intensity distributions using broadband illumination. It is possible to perform phase retrieval with broadband data by incorporating a broadband model of the system into the phase retrieval algorithm. To do this, the system is modeled at several discrete wavelengths and the results from each are summed incoherently to produce a broadband result. This significantly increases the computational load. We show here that when aberrations are small, accurate estimates of the OPD distribution, on the level of &lgr;/1000 RMS error, can be achieved using data with bandwidth up to about 10% as the input to a phase retrieval algorithm that assumes monochromatic data.
Phase retrieval using a random amplitude mask for wavefront sensing
3D imaging of wavefronts for the characterization of an object with which it interacts is an interesting and challenging problem. Wavefront sensing or the measurement of the deviations from an ideal wavefront yields valuable information of the object under study such as refractive index distribution, density distribution and temperature profile. Traditional phase reconstruction methods like holography involves a complicated setup and procedure and methods based on the Shack-Hartmann sensor have poor spatial resolution. In this study, an alternative wavefront sensor based on a phase retrieval method and a random amplitude mask is proposed. The main advantages of the proposed wavefront sensor are high resolution in the order of a few microns, accurate and fast-convergent phase reconstruction and a simple setup. The principles of the technique and the algorithm of the phase retrieval method are described in detail. The functions of the main components of the proposed sensor which include a mask, an imaging sensor and a computerized phase retrieval algorithm are also discussed. The dependences of the accuracy of the phase reconstructions on the number of intensity recordings and iterations are investigated. It was observed that about 16 intensity recordings and 5-7 iterations are sufficient to obtain a convergence between the calculated phase and the true phase. An initial random guess phase was also found to result in a faster rate of convergence as compared to an initial constant guess phase. Experimental implementation the proposed wavefront sensor is demonstrated.
The optical vortex interferometer with a wavefront division
The Optical Vortex Interferometer (OVI ) uses a regular lattice of optical vortex points. Such lattice can be generated by amplitude division obtained in the modified Mach-Zender set-up. This was reported in our previous papers ([14] - [19]). In this work the vortex lattice obtained by wavefront division is reported. We use the opaque screen with three or more holes. The optical vortex lattice obtained using three holes in the screen reveals some special properties as it is in three plane waves version of OVI. We analyze the properties of such lattice as well as lattice generated by four waves and report on possible applications of this particular simple device. The theoretical considerations are illustrated by the experimental results.
Applications of optical vortex birefringence compensator
In this paper some possible applications of a new device - Optical Vortex Birefringence Compensator (OVBC) are proposed. The arrangement consisting of two Wollaston prisms placed between the linear polarizer and analyzer allows to generate regular and stable optical vortex lattice. Inserting the measured medium into the OVBC can result in either the deformation or the displacement of obtained vortex lattice. Tracing the lattice shift or its geometry changes after the inserting the measured medium one can measure its optical properties. The main applications of the presented setup seem to be the measurements of the angular deformation of the wave front and the properties of the linearly birefringent media. In the paper the numerical simulations as well as the analysis of the interferograms taken from experiment are presented.
Opportunities and limits for interferometry in production control
Thomas Blümel D.D.S., Ralf Neubert, Ricarda Kafka, et al.
An interferometer is often recognized as a lab instrument demanding environment-controlled rooms, skilled operators and enough space for the instrument. In the case of radius measurement of spherical and toric contact lenses we'll demonstrate the integration of such a typical "lab instrument" into modern production lines enabling 100% inspection. A modern LabVIEWTM interface for the instrument and intelligent alignment procedures allow the fully automated control of the samples under test. The paper will discuss the possibilities and solutions of automated interferometric measurements in general and its limits regarding the range of radius measurement and positioning in special. Interfaces between the interferometer, its software and the external controller are described.
Interferometry and Phase Retrieval II
icon_mobile_dropdown
Practical implementation of the complex wavefront modulation model for optical alignment
We discuss the implementation of the complex wavefront modulation model in practical optical alignment procedures and present its interim results. This modulation model describes the relation between the alignment state and the wavefront of a system, both are expressed in mathematical complex quantities. It addresses the importance of the coupled inter-element alignment effect to the resultant system wavefront. We utilise this effect in order to extract the alignment state of a system from measured optical wavefronts. We demonstrate the method's practical applicability to the real optical alignment procedure by showing alignment simulations of a centered three-mirror system.
Robust Shack-Hartmann wavefront sensing with ultraflat microaxicons
Recently developed Shack-Hartmann sensors with axicon beam shapers show an enhanced robustness compared to setups with spherical microlenses. With ultraflat axicon arrays, further improvements were obtained. Very extended, fringeless nondiffracting beams or "needle beams" with self-reconstructing properties can be produced. Specific advantages of thin-film structures like low dispersion and reflective operation can be implemented. Here we report on first systematic studies of angular tolerance and displacement sensitivity of different types of refractive, reflective and diffractive Shack-Hartmann devices. A quantitative description of the functionality is given on the basis of higher order spatial statistical moments. This method enables for identifying optimum parameter ranges to determine wavefront curvatures under extreme conditions.
Fresnel wavefront propagation model for shearography shape measurement
Arun Anand, Roger M. Groves, Xavier Schwab, et al.
This paper describes a new technique for modelling slope fringes in shearography using Fresnel wavefront propagation. Shearography is a full-field speckle interferometry technique usually used for displacement gradient and for shape measurement. One feature of shearography is that it uses a close to common path interferometer. This has the advantage of reducing sensitivity to environmental disturbances, but the disadvantage of a non-linear response. Previously one of the authors has used a ray tracing model of the fringe formation to improve the shape and slope measurement capabilities of shearography. The calculation involved was relatively time consuming as it required the calculation of the phase for each camera pixel individually. In this new Fresnel wavefront propagation model the approach is different. The system is modelled by propagation of the entire wavefront. This includes propagating the light through optical elements, such as a lens. This initial study has been for the formation of slope fringes in shearography using the source displacement technique. The advantages of this new technique are that it is easier to introduce optical elements and the effects of speckle noise into the simulation.
Single fringe pattern demodulation using local adaptable quadrature filters
We propose a new approach to demodulate a single fringe pattern with closed fringes by using Local Adaptable Quadrature Filters (LAQF). Quadrature filters have been widely used to demodulate complete image interferograms with carrier frequency, however these have never being used to demodulate complete image interferogramas with out carrirer (with closed fringes). The idea, in this paper, is to demodulate the fringe pattern sequentially, using a fringe following scanning strategy. In particular we use linear robust quadrature filters to obtain a fast and robust demodulation method for single fringe pattern images with closed fringes. The proposed LAQF method does not require a previous fringe pattern normalization. Some tests with experimental interferograms are shown to see the performance of the method along with comparisons to its closest competitor, which is the Regularized Phase Tracker (RPT), and we will see that this method is tolerant to higher levels of noise.
Maxwell Solvers
icon_mobile_dropdown
Adaptive MBPE algorithm for speeding up the computation
K. Tavzarashvili, Ch. Hafner, D. Karkashadze, et al.
A Model-Based Parameter Estimation (MBPE) technique is described to accelerate numerical simulations of electromagnetic structures. The adaptive MBPE algorithm is based on Cauchy's formula and operates in the frequency domain to extrapolate or interpolate from a narrowband set of data to a broadband set of data. The data can be either computed or measured over a specified frequency range. For computed data the sampled values of the function and a few low order derivatives are calculated from a Maxwell solver and are then used to reconstruct the function. For measured data, only measured values of the parameter set are used to create broadband information. In this case derivatives are avoided as they are too noisy. Adaptive MBPE belongs to the class of auxiliary techniques and can be added to any field solver. In this paper the technique is combined with two semi-analytic field solvers working in the frequency domain, the Method of Auxiliary Sources (MAS) and the Multiple Multipole Program (MMP). A dielectric waveguide, metallic and metallo-dielectric Photonic Crystals (PhCs) as well as Channel Plasmon-Polariton (CPP) structures are analyzed to demonstrate the efficiency of adaptive MBPE.
Enhancements to FDTD modeling for optical metrology applications
Bartlomiej Salski, Malgorzata Celuch, Wojciech Gwarek
This paper presents Finite Difference Time Domain (FDTD) method based on discretised Maxwell curl equations and widely used in microwave circuit design - as a promising tool for new optical metrology purposes. We focus on periodic FDTD formulations for scattering problems. The interest in efficient full-wave modelling of periodic structures has arisen due to their increasing applications as slow wave transmission lines, photonic crystals, and metamaterials. Recently, new efforts have been made to incorporate the FDTD algorithms into the scatterometry overlay technology (SCOL) toolkit. In SCOL, multilayered grating targets on silicon wafers are illuminated with polarised light at a particular angle of incidence; reflected signal of the 0th diffraction order is processed to extract the information about misalignment between grating layers. Since the illumination spot size typically covers tens or even hundreds of grating periods, direct 3D FDTD modelling of such an electrically large problem needs long computing times. The periodic FDTD algorithm discussed herein, built upon Floquet theorem, allows reduction of the modelling problem to one or just a few periods. As a consequence, it substantially speeds up the simulation. The incident wave is modelled as a plane wave. The reflected wave is extracted via near-to-far (NTF) transformation as in antenna analysis. We cross-calibrate the FDTD algorithm against other numerical techniques better established in optical metrology, like Rigorous Coupled Wave Analysis (RCWA). For a benchmark of multilayered rectangular grating composition illuminated with light within the 500 to 700 nm spectrum, we show that the FDTD and RCWA results for the 0th diffraction order reflection coefficient are in excellent agreement. The FDTD approach is more flexible as it further allows quantitative characterisation of non-rectangular periodic structures, higher-order diffraction rays, and periodicity violation. This work was done in the framework of the SOCOT Consortium [18], sponsored by the European Commission under the IST 6th Framework Programme, Contract No. 016403.
3D simulations of electromagnetic fields in nanostructures using the time-harmonic finite-element method
Rigorous computer simulations of propagating electromagnetic fields have become an important tool for optical metrology and optics design of nanostructured components. As has been shown in previous benchmarks some of the presently used methods suffer from low convergence rates and/or low accuracy of the results and exhibit very long computation times1, 2 which makes application to extended 2D layout patterns impractical. We address 3D simulation tasks by using a finite-element solver which has been shown to be superior to competing methods by several orders of magnitude in accuracy and computational time for typical microlithography simulations.2 We report on the current status of the solver, incorporating higher order edge elements, adaptive refinement methods, and fast solution algorithms. Further, we investigate the performance of the solver in the 3D simulation project of light diffraction off an alternating phase-shift contact-hole mask.
Modeling in Semiconductor Metrology
icon_mobile_dropdown
Model-based analysis of the limits of optical metrology with experimental comparisons
This paper presents a summary and analysis of a study on optical modeling for critical dimension metrology. The paper is focused on two primary elements: 1) the comparison, stability, and validity of multiple electromagnetic scattering models and 2) a series of model-to-experiment comparisons. A part of the study will cover improved model-to-theory agreement obtained using our new Scatterfield microscopy technique, which has enabled evaluation of previous unquantified errors. The Scatterfield microscopy technique allows us to step or scan an aperture in a conjugate back focal plane of the objective lens enabling illumination of a narrow cone of incident plane waves at a given primary angle of incidence. A series of angle resolved images or intensity data can be obtained for each angle of illumination.
In-chip overlay metrology for 45nm processes
Y. S. Ku, H. L. Pang, N. P. Smith, et al.
The feasibility of measuring overlay using small (between 1x1&mgr;m and 3x3&mgr;m total size) targets has been demonstrated4. The symmetry of the image of isolated test features changes with overlay offset. The targets are small enough to be positioned within active areas of the device, and total measurement uncertainty (TMU) is sufficient to allow these targets to be used in characterizing overlay variations in the active device. In this paper we describe further development of this technique and its application to overlay control in 45nm processes. A simple image model has been used to predict how the target images change with overlay error, and to study the unwanted effects of process variation on the measurement. In order to ensure the accuracy of measurements made using the in-chip targets it is necessary to provide for dynamic calibration of the symmetry-to-overlay response. This calibration can be readily achieved by printing multiple targets close together, with each target having a programmed offset that differs by a small amount. In our tests we have used triplets of targets with programmed offsets of 30nm, 50nm and 70nm. Normal targets are printed with a programmed offset of 50nm. A test reticle was designed for double-pass printing of a range of in-chip targets with different sizes and component dimensions, and with designed overlay offsets of 0nm to 100nm in 4nm steps. Standard bar-in-bar targets were printed with every in-chip target to allow the change of symmetry with overlay to be measured directly. Wafers were printed using a 45nm process poly-to-STI stack. Measurements were made in multiple fields and from multiple wafers using an unmodified Nanometrics Caliper élan overlay tool. The test wafers were printed with extremes of process variation. Correlation of the measured image symmetry to the programmed offset under different process conditions shows that the response is sensitive to film changes, as predicted by the image model. Results from production wafers show that the effect of normal process variation is small enough that calibration is not necessary at every location. Placing a few calibration targets in the scribe lines of the device is more than sufficient, allowing the smallest possible space requirement for measurement inside the active area of the device. Detailed study of the change in overlay with programmed offset along a line of test samples with the same design properties shows short-scale variations of order 1-5nm. According to the 2005 ITRS1 these variations account for nearly 50% of the overlay budget for a 45nm process. This effect cannot be described by any model where overlay variations are purely a mathematical function of position, and in process control at this node it will become necessary to use characterization of overlay by measurement instead of models.
An approach to validation of rigorous modeling in optical CD microscopy by comparison of measurement results with independent methods
Optical measurement methods like high resolution microscopy and scatterometry are widely used in photomask and semiconductor metrology. One of the most important measurement tasks is the characterization of line features for CD or linewidth or more general 3D line shape characteristics. For proper simulation of microscopic imaging rigorous diffraction models were applied on isolated and dense as well as clear and opaque photomask line features. The features were also different with respect to edge angle and edge shape as well as optical properties of photomask absorber structures. We report on the results on special test masks with intended larger edge angle variations as well as on high quality photomask line features on CD standards which are used for the 65 nm semiconductor technology node. In order to be able to compare and validate the uncertainty calculations of these results, systematic comparisons with other independently traceable measurement methods on the same features have been performed. For this cross-calibration analysis low voltage SEM as well as additional AFM measurements were used.
Comparison of rigorous modelling of different structure profiles on photomasks for quantitative linewidth measurements by means of UV- or DUV-optical microscopy
Gerd Ehret, Bernd Bodermann, Martin Woehler
The optical microscopy is an important instrument for dimensional characterisation or calibration of micro- and nanostructures, e.g. chrome structures on photomasks. In comparison to scanning electron microscopy (possible contamination of the sample) and atomic force microscopy (slow, risk of damage) optical microscopy is a fast and non destructive metrology method. The precise quantitative determination of the linewidth from the microscope image is, however, only possible by knowledge of the geometry of the structures and their consideration in the optical modelling. We compared two different rigorous model approaches, the Rigorous Coupled Wave Analysis (RCWA) and the Finite Elements Method (FEM) for modelling of structures with different edge angles, linewidths, line to space ratios and polarisations. The RCWA method can adapt inclined edges profiles only by a staircase approximation leading to increased modelling errors of the RCWA method. Even today's sophisticated rigorous methods still show problems with TM-polarisation. Therefore both rigorous methods are compared in terms of their convergence for TE and TM- polarisation. Beyond that also the influence of typical illumination wavelengths (365 nm, 248 nm and 193 nm) on the microscope images and their contribution to the measuring uncertainty budget will be discussed.
Optical characterization procedure for large thin films
Juan F. Trigo, José Herrero, Leonardo Soriano, et al.
Our goal was to characterize large thin films from reflectance and transmittance (R-T) measurements. We focus on the homogeneity of the films related to the geometrical information of their deposition system. This is not an easy task in multisource deposition systems where film thickness mapping and chemical lateral distribution should be checked. In fact, the optical constants lateral variation influences the determination of the size parameters (thickness, roughness). A precise analysis requires determination of every optical parameter on every sample point. This independent point analysis is highly time consuming and results in noisy maps when the represented parameters are strongly correlated. We present a new approach to a global fitting strategy. We have modified our general-purpose software for R-T thin film characterization, adding this global mapping capability. The advantages of our procedure match the challenge of the in situ monitoring in the industrial film processing. As in other mapping procedures, a previous knowledge of our measured system is needed. A detailed optical determination of an In2S3 10x10cm film is presented as an example. Principal Component Analysis has been done with the extracted optical constants in order to reduce the data. This information has been introduced in our automatic global analysis software. The fitting of the same data set resulted on similar but consistent and smoother maps and in around 1/5 of the computing time.
Scatterometry
icon_mobile_dropdown
In-line etching process control using dynamic scatterometry
Sébastien Soulan, Maxime Besacier, Tanguy Leveder, et al.
In-line process control in microelectronics manufacturing requires real-time and non-invasive monitoring techniques. Among the different metrology techniques, scatterometry, based on the analysis of ellipsometric signatures of the light scattered by a patterned structures, is well adapted. Traditionally, the problem of defining the shape and computing the signature is dealt with modal methods and is called direct problem. On the opposite, the inverse problem allows to find the grating shape thanks to an experimental signature acquisition, and can not be solved as easily. Different classes of algorithms have been introduced (evolutionary, simplex, etc.) to address this problem, but the method of library searching seems to be the most attractive technique for industry. This technique has many advantages that will be presented in this article. However the main limitation in real-time context comes from the short data acquisition time for different wavelengths. Indeed, the lack of data leads to the method failure and several database patterns can match the experimental data. In this article, a technique for real time reconstruction of grating shape variation using dynamic scatterometry is presented. The different tools to realize this reconstruction, such as Modal Method by Fourier Expansion, regularization technique and specific software and hardware architectures are then introduced. Results issued from dynamic experiments will finally illustrate this paper.
Optical scatterometry with analytic approaches applied to periodic nano-arrays including anisotropic layers
Optical scatterometry is being used as a powerful technique for measurement of sub-wavelength periodic structures. It is based on measuring the scattered signal and solving the inverse scattering problem. For periodic nano-arrays with feature size less than 100nm, it is possible to simplify the electromagnetic simulations using the Rytov near quasi-static approximation valid for feature periods only few times less than the wavelength. This is shown to be adequate for the determination of the structure parameters from the zero order reflected or transmitted waves and their polarization or ellipsometric properties. The validity of this approach is applied to lamellar nano-scale grating photo-resist lines on Si substrate. Formulation for structures containing anisotropic multilayers is presented using the 4x4 matrix approach.
Scatterometry from crossed grating structures in different configurations
Scatterometry proved to be a powerful technique for CD and profile metrology. In contrast to alternative methods like scanning electron microscopy (SEM) it is an integral method that reconstructs structure parameters from a comparison between measured and simulated spectra. It is well established in the field of line / space gratings and gaining importance for crossed grating structures. The simulation tool MicroSim, which was developed at the Institute for Technical Optics (ITO) in Stuttgart, has recently been extended to arbitrarily shaped crossed grating structures. Besides the shape also the pitches and mode numbers in the two directions of periodic continuation can be selected freely. In this article, different measurement configurations are discussed regarding as an example an asymmetric crossed grating structure. The depth of an asymmetric etch ought to be measured as well as its width. For the depth a conventional spectroscopic ellipsometric setup can be applied, whereas for the width an angle scan is proposed. In this configuration the wavelength remains constant while the sample is rotated around its normal.
Numerical analysis of DUV scatterometry on EUV masks
Matthias Wurm, Bernd Bodermann, Regine Model, et al.
We investigated the potential, applicability, and advantages of DUV scatterometry including DUV ellipsometry for the dimensional characterisation of the absorber structures on EUV photomasks. By means of numerical investigations on the basis of rigorous diffraction calculations we demonstrate the sensitivity of DUV scatterometry with regard to dimensions and geometry of the absorber structures. Further we show, that in contrast to at-wavelength scatterometry in the EUV scatterometry in the DUV spectral range is nearly insensitive to perturbations of the reflecting MoSi multilayer. As for EUV scatterometry the separation of absorber structure and multilayer parameters is sometimes difficult the application of DUV scatterometry allows for an easy separation. It is further on verified, that for this reason a quite good reconstruction of geometry and size of the absorber structures from measured data is possible. Finally we prove the sensitivity of DUV ellipsometric measurements, a feature, which is not (yet) available in the EUV spectral range. Because of these results we estimate DUV scatterometry to be an excellent metrology method for in-line monitoring and process optimization in the fabrication process of EUV masks.
Structure modeling for scatterometric characterization of photoinduced surface relief gratings
Petre C. Logofatu, Ileana Apostol, Marie-Claude Castex, et al.
Surface-relief photo-polymer gratings obtained through the novel technique of photoinduced single step inscription in photopolymers are characterized through various investigations means, with an emphasis on scatterometry. The characterization of the gratings is necessary not only for insight in the creation process of gratings but also for checking the reproducibility and uniformity. The diffraction efficiencies of the various orders diffracted by the grating were measured and they were fitted to theoretical predictions corresponding to various structure models of the gratings. The fitting procedure is used to provide the parameters of the gratings, such as the width, the grating height, the pitch or shape factors, such as the wall angles for a trapezoidal structure. The shape of the gratings was quite complicated and the fitting, for this reason, a challenge. Numerous models were proposed, tried and their advantages and shortcomings discussed.
EUV Scatterometry
icon_mobile_dropdown
Finite element analysis of EUV lithography
Extreme ultraviolet (EUV) lithography is seen as the main candidate for production of next generation computer technology. Due to the short wavelength of EUV light (≈ 13 nm) novel reflective masks have to be used in the production process. The high quality requirements for these EUV masks make it necessary to measure and characterize their pattern profile. Here we present numerical simulations of EUV masks with the finite element method (FEM) which allow the reconstruction of geometrical mask parameters like critical dimension (CD), sidewall angles, layer thicknesses from experimental scatterometry data.1 Special numerical techniques like domain decomposition algorithms and high order finite elements become very important to obtain accurate numerical results in small computational time. We analyze the sensitivity of scatterometry with respect to the geometrical line profile. We demonstrate the determination of line profiles from experimental scatterometry data and compare our values to direct microscopic measurements using CD-SEM and AFM.
A rigorous solution for electromagnetic scattering from any kind of asperities of multilayer 1-D structures in the X-ray–VUV ranges
The rigorous integral equation method (viz MIM) in which the border structure is represented by a multilayer 1-D grating working at small wavelength-to-period ratios is used for taking into account electromagnetic scattering from different-types of nano-irregularities, such as periodical, random, self-organized, and their combinations. An example of the third type is multiple quantum dot (QD) ensembles and quantum molecules. The borders may contain a few or a large number of asperities of any kind. The program deals with a structure that is a grating from a mathematical point of view but that can model a rough surface if the groove spacing becomes large compared with the width (or correlation length) of asperities. This classical model for scattered light computation of bulk or few-border rough mirrors and gratings at visible and IR wavelengths is applied in PCGrate(R)-SX v.6.2 software based on the MIM to calculate multilayer structures in the X-ray-VUV range, which is a very difficult problem for any rigorous method, even for 1-D surfaces. Border profiles of most realistic types including real ones (e.g. AFM-measured) have both periodical and random components, and some ensemble averaging is required to obtain exact specular and nonspecular (diffuse) scattering intensities. The PCGrate results are compared with the data obtained by approximated approaches and measurements. Calculated intensities of scattering from gratings and rough mirrors as well as multiple QD structures can differ from those obtained for ideal and average border profiles or from approximations by a few percent up to a few orders of magnitude. The method can be applied both to forward computations of efficiencies over a wide range of angles and to fitting of the border metrological performance by comparing to measured data.
Influence of line edge roughness and CD uniformity on EUV scatterometry for CD characterization of EUV masks
Scatterometry, the analysis of light diffracted from a periodic structure, is a versatile metrology for characterizing periodic structures, regarding critical dimension (CD) and other profile properties. For extreme ultraviolet (EUV) masks, only EUV radiation provides direct information on the mask performance comparable to the operating regime in an EUV lithography tool. With respect to the small feature dimensions on EUV masks, the short wavelength of EUV is also advantageous since it provides more diffraction orders as compared to UV. First measurements using PTB's EUV reflectometer at the storage ring BESSY II showed that it is feasible to derive information on the line profile in periodic areas of lines and spaces by means of rigorous numerical modeling. A prototype EUV mask with a matrix of test fields each divided into subfields containing among others test fields with lines & spaces was used for the measurements. In this contribution we summarize our present results in determining line profile parameters using scatterometry and reflectometry to provide the input data for the determination of CD and side-wall geometry using rigorous calculations of EUV diffraction. Particularly, we present a first investigation on the influence of line edge roughness and CD uniformity by correlating in-plane scatterometry data for the discrete diffraction orders corresponding to the pitch of the structure to out-of-plane measurements of diffusely scattered light induced by line edge roughness and CD uniformity. We demonstrate the influence of diffuse scattering on the determination of CD and side-wall geometry using only the discrete in-plane diffraction orders. To this aim we perform finite element (FEM) simulations on 2D computational domains.
Optimal sets of measurement data for profile reconstruction in scatterometry
We discuss numerical algorithms for the determination of periodic surface structures from light diffraction patterns. With decreasing feature sizes of lithography masks, increasing demands on metrology techniques arise. Scatterometry as a non-imaging indirect optical method is applied to simple periodic line structures in order to determine parameters like side-wall angles, heights, top and bottom widths and to evaluate the quality of the manufacturing process. The numerical simulation of diffraction is based on the finite element solution of the Helmholtz equation. The inverse problem seeks to reconstruct the grating geometry from measured diffraction patterns. Restricting the class of gratings and the set of measurements, this inverse problem can be reformulated as a non-linear operator equation in Euclidean spaces. The operator maps the grating parameters to special efficiencies of diffracted plane wave modes. We employ a Gauss-Newton type iterative method to solve this operator equation. The reconstruction properties and the convergence of the algorithm, however, is controlled by the local conditioning of the non-linear mapping. To improve reconstruction and convergence, we determine optimal sets of efficiencies optimizing the condition numbers of the corresponding Jacobians. Numerical examples are presented for "chrome on glass" masks under the wavelength 632.8 nm and for EUV masks.