Proceedings Volume 6517

Emerging Lithographic Technologies XI

cover
Proceedings Volume 6517

Emerging Lithographic Technologies XI

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 13 March 2007
Contents: 21 Sessions, 116 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2007
Volume Number: 6517

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6517
  • EUV Systems
  • Advanced Mask I
  • EUV Optics
  • NIL I
  • EUV Source I
  • EUV Imaging I
  • Maskless
  • NIL II
  • Joint Session with conference 6519: EUV Resist
  • Advanced Mask II
  • Novel Lithography
  • EUV Imaging II
  • EUV Source II
  • Poster Session: Advanced Mask
  • Poster Session: Nano-imprint
  • Poster Session: ML and E-beam Lithography
  • Poster Session: EUV Imaging
  • Poster Session: EUV Optics
  • Poster Session: Nanotechnology
  • Poster Session: EUV Source
Front Matter: Volume 6517
icon_mobile_dropdown
Front Matter: Volume 6517
This PDF file contains the front matter associated with SPIE Proceedings Volume 6517, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and the Conference Committee listing.
EUV Systems
icon_mobile_dropdown
SEMATECH’s EUV program: a key enabler for EUVL introduction
With the introduction of alpha tools, extreme ultraviolet lithography (EUVL) has reached a key milestone. Users of those tools must have access to critical EUV infrastructure capabilities to evaluate the technology in a pilot line operation. In cooperation with universities, national laboratories, suppliers, integrated device manufacturers, and other industry consortia, SEMATECH has been spearheading the worldwide effort to develop this EUV infrastructure in the source, mask, optics, and resist areas. In the process, SEMATECH's Mask Blank Development Center, its EUV Resist Test Center, and the EUV expertise built within the SEMATECH EUV program have become key enablers for the successful introduction of EUV technology. We will highlight the significant contributions that the SEMATECH EUV Program has made, and continues to make, to the worldwide EUV infrastructure development effort. Moving beyond the alpha tool phase, the industry must have a clear understanding of the challenges that need to be addressed before EUV beta tools can be successfully introduced as early as 2009. We will identify those areas that still need a substantial effort to overcome technical and business challenges to meet 32 nm half-pitch requirements in time. Although some of those areas are clearly EUV-specific, others are generic and impact other lithography technologies as well. One of the major attractions of EUVL is that it is an extendible technology that can likely support patterning for several technology generations. We will review the outlook for EUVL technology extendibility and discuss what the industry needs to start working on to enable EUVL's bright future and long lifetime.
EUV lithography with the Alpha Demo Tools: status and challenges
Noreen Harned, Mieke Goethals, Rogier Groeneveld, et al.
ASML has built and shipped to The College of Nanoscale Science and Engineering of the University at Albany (CNSE) and IMEC two full field step-and-scan exposure tools for extreme ultraviolet lithography. These tools, known as Alpha Demo Tools (ADT), will be used for process development and to set the foundation for the commercialization of this technology. In this paper we will present results from the set-up and integration of both ADT systems, status of resist and reticles for EUV, and the plans for using these tools at the two research centers. We will also present the first resist images from one of the tools at the customer site, and demonstrate 32nm half-pitch dense lines/spaces printing as well as 32nm dense contact hole printing.
Nikon EUVL development progress update
Extreme Ultra Violet Lithography (EUVL) has been widely regarded as the lithography technology to succeed optical lithography. It is now considered as one of the most promising technologies below hp45nm node [1], following ArF immersion lithography considering trend of achievable process K1 factors shown in Fig. 1. In this paper we would like to present significant progress on the development of EUV exposure tool. There are several key important areas which should be developed to realize EUVL to be feasible such as reflective mask, resist, and tool itself. The reflective mask features such characteristics as pellicle-less, ultra-smooth blank flatness and defect free. The resist should be of high sensitivity and small line edge roughness (LER) as well as fine resolution. EUV exposure tool itself consists of major modules such as EUV light source, projection optics, vacuum body, vacuum stages, and so on. As far as EUVL optics development is concerned, through the development of high-NA small-field EUV exposure system (HiNA) in conjunction with EUVA (Extreme Ultraviolet Lithography System Development Association) projects, we have developed new polishing technologies such as ion-beam figuring and elastic emission machining, and new ultra high-precision interferometers for aspheric surface metrology. Wave front sensor system has been also developed partly in EUVA project. A new wave front sensor system which can be used for evaluating the projection optics with EUV light has already been installed in New SUBARU synchrotron facility in University of Hyogo. Our multi-layer coating technology has been also improved. High reflective Mo/Si multi layer coating has been successfully achieved and irradiation tests using synchrotron radiation have been conducted [8]. Successful achievement of those developments enables us to produce full-field projection optics for EUVL process development tool called EUV1. Proto-type development of full-field projection optics has been successfully completed and evaluated to be of enough performance. Preparation of complete set of production and metrology tools necessary for projection optics production was completed and all tools are now in full operation. Nikon has studied reticle protection method and developed Dual Pod Concept in cooperation with Canon. Nikon also has developed its own reticle cover to be implemented in EUV1 tool. Nikon has completed almost all module fabrication such as full-field projection optics module, illumination optics module, vacuum body module, vacuum compatible reticle/wafer stage modules, reticle/wafer loader modules, and EUV light source module. Nikon has already got into module integration production process to meet EUV1 development schedule. Nikon announced to start EUV1 tool installation in 1st half of 2007 and has been proceeding it on schedule. Nikon also would like to announce that development of 1st generation production EUVL tool dubbed EUV2 is now considered and that system concept design is under way.
Path to the HVM in EUVL through the development and evaluation of the SFET
Shigeyuki Uzawa, Hiroyoshi Kubo, Yoshinori Miwa, et al.
We have constructed a small field exposure tool (SFET) in collaboration with EUVA, and shipped out to the Selete (Semiconductor Leading Edge Technologies; Japanese Consortium). The SFET has a field size of 0.6*0.2mm2 and two-mirror type projection optics. This machine is developed not only to assist the resist and mask development, but also to demonstrate manufacturing technologies for the full field tool. In this paper we discuss the SFET performances with aberration and flare of the projection optics and exposure results based on the simulation and exposure results. To fabricate the SFET projection optics, we improved our key manufacturing tools such as the wavefront measurement tool and the ion beam etching equipment (IBF). These machines are proved to be effective on production of the SFET, and will be applicable for the full field machines. We introduce the outline of Canon's activities for full field tool development and the other key technology such as mask handling, contamination protection and it's removal technology studies.
EUV lithography program at IMEC
Anne Marie Goethals, Rik Jonckheere, Gian Francesco Lorusso, et al.
IMEC has started an EUV lithography research program based on ASMLs EUV full field scanner, the Alpha Demo Tool (ADT). Currently, the ADT is in the final phase of installation. The program focuses on three main projects: EUV resists, EUV reticles and assessment of the ADT performance. The intent of this program is to help improve and establish the necessary mask and resist infrastructure. In this paper, the status and the progress of the program is reviewed. In preparation for a resist process for the ADT, interference lithography has been used to track the progress of resist performance. Steady progress in resist development is seen, especially in terms of resolution, as some materials are now able to resolve 25nm HP. In its initial phase, the reticle project has concentrated on working with the mask and blank suppliers to assure timely availability of reticles for the ADT. An overview is given of the other reticle related activities, as well as first results of a defect printability study by simulation. In the ADT assessment project, simulation studies are reported aimed at the development of optical correction for flare and reticle shadowing effects. The impact of flare and shadowing effects are well understood and strategies for flare mitigation and shadowing effect correction are proposed.
Advanced Mask I
icon_mobile_dropdown
Fast simulation of buried EUV mask defect interaction with absorber features
To simulate the interaction of buried defects and absorber features in EUV masks and their effects on projection printing, a prototype 2D, fast, integrated, simulator based on ray tracing and a thin mask model is presented. RADICAL (Rapid Absorber Defect Interaction Computation for Advanced Lithography), consists of three sequential steps: the propagation of the mask illumination down through the absorber pattern, the reflection off the defective multilayer, and the propagation back up through the absorber. A propagated thin mask model is used to model the down/up propagation through the absorber pattern and a ray tracing simulator is used for the multilayer reflection. These simulators are linked together using a Fourier transform to convert the near field output of one component into a set of plane wave inputs for the next. This new method gives a 100x-300x speed increase compared to FDTD, and agrees to a point to point average of less than 2% with FDTD for 22nm lines on the wafer for NA=0.5. The errors in RADICAL and FDTD are examined to determine the sources of error for each simulator.
EUV MET printing and actinic imaging analysis on the effects of phase defects on wafer CDs
Hakseung Han M.D., Kenneth A. Goldberg, Anton Barty, et al.
Extreme ultraviolet (EUV) lithography technology has gradually developed, and the industry is now progressing toward beta EUV lithography processes. However, very little has been reported on the effects of phase defects on wafer critical dimension (CD) and on tolerable defect sizes. We have fabricated programmed defect masks with programmed substrate pits and absorbing iso line patterns. The substrate pit depth measured with AFM ranged from ~2-3 to ~6-10 nm and the full width half maximum (FWHM) varied from 45 to 150 nm. A line-pattern was etched into the reflective multilayer coating using focused ion beam (FIB) milling. The iso line pattern is 225nm wide, corresponding to 45nm on a wafer in 5x demagnification tool we used for the EUV exposure. In this paper, we will present an analysis of the measured relationship between phase defect size and CD change using data obtained with an EUV micro-exposure tool (MET) and with an actinic imaging microscope at Lawrence Berkeley National Laboratory. Printable distance between pit and line edge will also be discussed according to pit sizes. Comparison result between real test and aerial image simulation will be reported to confirm the simulation.
EUV and non-EUV inspection of reticle defect repair sites
We report the actinic (EUV wavelength) and non-actinic inspection of a multilayer-coated mask blank containing an array of open-field defect repair sites created in different ways. The comparison of actinic brightfield and darkfield measurements shows the importance of having both local reflectivity and scattering measurements. Although effective mask blank repair capabilities have not been adequately demonstrated, the data acquired in this experiment have been very instructive. Correlation with non-actinic inspection methods shows the difficulty of establishing a successful predictive model of the EUV response without EUV cross-comparison. The defect repair sites were also evaluated with SEM, AFM, and 488-nm-wavelength confocal microscopy. The data raise important questions about mask quality specifications and the requirements of future commercial actinic inspection tools.
Inspection with the Lasertec M7360 at the SEMATECH Mask Blank Development Center
Extreme ultraviolet lithography (EUVL) mask blanks must be free of printable defects. The SEMATECH Mask Blank Development Center (MBDC) is focused on driving down the defect density of EUVL mask blanks by providing a collaborative environment for EUVL mask substrate and equipment suppliers and a state-of-the-art analytical toolset for them to improve their products. Multilayer (ML) coating, substrate cleaning, and substrate suppliers are on site improving their products with a toolset that includes defect inspection, multilayer deposition, and substrate cleaning capabilities. X-ray diffraction (XRD) and EUV reflectance measurement capability as well as focused ion beam scanning electron microscopy/energy-dispersive X-ray (FIBSEM/EDX) and atomic force microscopy (AFM) for defect characterization are on site. The SEMATECH MBDC has just installed a Lasertec M7360, an advanced EUV mask blank inspection tool. The M7360 operates at a much shorter wavelength than the previous generation of confocal scanning inspection tools (266nm vs. 488nm for the M1350). The M7360 represents a significant improvement in our defect detection sensitivity. This paper will center on the capabilities of this new tool and show initial inspection results on EUV multilayer at sensitivities well below those that have been previously reported.
EUVL mask substrate defect print study
Mask substrate defects continue to be one of the critical issues for EUV technology development. Current specifications call for allowable defects in the 25nm height range for production masks blanks. Simulations seem to indicate that defects as small as 3nm high will cause printable defects on the wafer. To study the effects of small aspect defects on the printed image we undertook a study to look at 10nm height (mask substrate size) defects. A mask was fabricated with 12nm height defects in arrays based on area. Defects of 110nm, 190nm, 300nm, and 1000nm were fabricated. Multi-layers were then deposited and the mask was patterned with line/space patterns with pitches (mask size) of 600nm, 800nm, and 1000nm. Wafers were then exposed using a well characterized resist and the results were analyzed. All sizes of defects printed at least once. The largest defect size (1000nm mask) caused multiple bridges to form while even the smallest defect size (110nm mask) could cause a bridge on the 600nm (mask size) pitch.
EUV Optics
icon_mobile_dropdown
Atomic hydrogen cleaning of Ru-capped EUV multilayer mirror
Kumi Motai, Hiroaki Oizumi, Shinji Miyagaki, et al.
Atomic hydrogen cleaning has been developed to reduce the amount of surface oxide on Ru-capped Mo/Si multilayer mirrors for EUVL. Atomic hydrogen generated by a heated W wire catalyzer was supplied to a Ru cap layer that had been lightly oxidized by ECR O2 plasma or EUV irradiation. The effectiveness of atomic hydrogen in deoxidizing it was examined by ex situ AES, XPS, and EUV absolute reflectivity measurements; and it was found that the amount of surface oxide was reduced to the initial level and that the EUV reflectivity of a multilayer degraded by oxidation recovered. In addition, the transport of atomic hydrogen thorough a winding quartz tube was demonstrated to be a promising technique. The actual density of hydrogen radicals was directly measured under various conditions so that the conditions for generating atomic hydrogen could be optimized and the required treatment time shortened.
Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors
S. B. Hill, I. Ermanoski, C. Tarrio, et al.
Endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation in a water-dominated environment is nonlinear and may be influenced by competing oxidation and carbon-deposition processes. Concurrent results from two different environmental chambers reveal non-intuitive relationships between reflectivity loss and the admitted water-vapor partial pressure, the ambient background-gas composition, the presence or absence of hot filaments in the chamber, the EUV intensity and the irradiation dose. We discuss possible mechanisms and propose further experiments to test them. Determining the MLM lifetime from accelerated tests is a very difficult task. It is crucial that any lifetime testing procedure involves duplicate exposures for consistency, and, if possible, testing in multiple facilities.
Enhanced reflectance of interface engineered Mo/Si multilayers produced by thermal particle deposition
A. E. Yakshin, R. W. E. van de Kruijs, I. Nedelcu, et al.
A new deposition technique that builds on the thermal particle characteristics typical for e-beam deposition is described. This technique applies magnetron sputtering in a special scheme where these characteristics of the e-beam deposition method are achieved. The method was used for interface engineering of Mo/Si multilayers, with different barrier layer materials being tested. Composition of the barrier layers formed was studied using XPS. Results are shown on the general example of a Mo/B4C/Si/B4C system. The ultra-thin reflectance enhancement B4C barriers can be deposited with low added stress, resulting in a multilayer stress as low as about -150 MPa. The best interface engineered multilayers reflect 70.5% at 13.3 nm and 70.15% at 13.5 nm. These results were achieved with 50 period multilayers terminated with a standard Si layer.
Development of optics for EUV lithography tools
Katsuhiko Murakami, Tetsuya Oshino, Hiroyuki Kondo, et al.
Nikon is now conducting a development of the full-field EUV exposure tools for EUVL process development named EUV1, which will be delivered in 2007. Polishing and coating of six different kinds of mirrors for the projection optics of EUV1 were finished and adjustment of the projection optics has been started. Sophisticated polishing process for aspheric mirrors, which can reduce LSFR, MSFR and HSFR down to less than 0.1nmRMS simultaneously, were developed. Process conditions of Mo/Si multilayer coatings have been optimized to obtain high reflectivity, low internal stress and graded coating simultaneously. Wavefront error of the projection optics under adjustment process is now 3nmRMS. We will try to achieve a wavefront error of less than 1nmRMS by further precise adjustment. Fabrication process of fly's eye mirrors, which is a key device of illumination optics of EUV1, was developed. All the mirrors of the illumination optics for EUV1 were finished and evaluation of its performance using an illumination-optics test stand has been started. Development and fabrication of both the projection optics and the illumination optics for EUV1 are satisfactorily in progress.
NIL I
icon_mobile_dropdown
Multilevel step and flash imprint lithography for direct patterning of dielectrics
Wei-Lun Jen, Frank Palmieri, Brook Chao, et al.
Modern integrated circuit fabrication uses the dual damascene process to create the copper interconnects in the Back End of the Line (BEOL) processing. The number of wiring levels is increasing to eight or more in advanced microprocessors, and the complexity and cost of the BEOL processes is growing rapidly. An approach to dual damascene processing using Step and Flash Imprint Lithography (S-FIL®) in conjunction with Sacrificial Imprint Materials (SIM) offers the ability to pattern two levels of interconnect structures simultaneously. By using a multi-level imprint template built with both the via and trench structures, one imprint lithography step can produce the same structures as two photolithography steps, greatly reducing the number of patterning process steps in the BEOL layers. This paper presents progress in formulation of new sacrificial imprint materials and the development of S-FIL and etch processes to incorporate the SIM strategy. The SIM is formulated as a two-component system, with a tunable etch rate adjusted by the ratio of the monomer and cross-linker components. High quality imprints were produced with a multi-level template on wafers with blank films of black diamond® dielectric material. The quality of the multi-level pattern transfer from the SIM into black diamond was evaluated.
A study of imprint-specific defects in the step and flash imprint lithography process
J. Perez, K. Selinidis, S. Johnson, et al.
Researchers have demonstrated that imprint lithography techniques have remarkable replication resolution and can pattern sub-5nm structures. However, a fully capable lithography approach needs to address several challenges in order to be useful in manufacturing. For successful manufacturing insertion of Step and Flash Imprint Lithography (S-FILTM) into a broad set of applications such as photonics, magnetic storage, and integrated circuits (ICs), the following practical process related challenges need to be addressed: (i) Printing sub-50nm structures with non-uniform pattern densities: (ii) Precise alignment and overlay with the ability to mix-and-match with photolithography; (iii) Availability of 1X templates; (iv) Achieving appropriate throughput for acceptable cost of ownership; and (v) Minimizing template and imprint process-induced defects to allow acceptable process yields. The last challenge - the ability to achieve low defect densities - is desirable for all applications. However, it is one of the biggest challenges for S-FIL to be accepted in IC fabrication. This article specifically focuses on this last challenge and presents the current status of defect reduction in S-FIL technology. The article starts out by providing a brief background of S-FIL technology, and by including a discussion of the overall status of S-FIL technology in Section 1. Next, an overview of the experiments performed including the defect inspection approaches used is provided in Section 2. Section 3 introduces the classes of defects that are relevant to the S-FIL process. It also provides recent defect data for each of these classes. Section 4 presents defect data gathered over the last three years and provides defect reduction trends over this period. Section 5 discusses the topic of template lifetime. Finally Section 6 provides some concluding remarks. The defect data presented here is based on a large number of short-loop experiments based on optical inspection of templates and wafers; these data are complemented by a modest number of high resolution e-beam inspections to provide insight into S-FIL specific defects at leading edge line widths.
Critical issues study of nano-imprint tool for semiconductor volume production
Hideki Ina, Kazuyuki Kasumi, Eigo Kawakami, et al.
Nano-imprint lithography (NIL) has the capability to transfer very fine patterns. As NIL was described in ITRS Roadmap in 2003, there are plans to apply NIL to semiconductor volume production at 32nm half pitch devices. This study is describes the critical issues of nano-imprint tool for semiconductor volume production. For an exposure tool supplier such as CANON, overlay control is not a critical issue for nano-imprint lithography because CANON has experience from proximity X-ray lithography (PXL). CANON can build an overlay system for nano-imprint tool using the CANON PXL's alignment and chip magnification correction technologies easily. Using our background, we focus on the Cost of Ownership (CoO) considering mold durability and compare NIL to Extreme Ultra Violet (EUV) and double patterning (DP) by immersion ArF lithography, to clarify the required specification of NIL from the viewpoint of productivity.
Demolding strategy to improve the hot embossing throughput
Tanguy Leveder, Stefan Landis, Laurent Davoust, et al.
Hot embossing throughput is a key issue, which has been addressed in this paper. We show how it is possible to remove the mold from the imprinted resist at the imprint temperature. We study reflow behavior of imprinted patterns, and make a cooling and quenching simulation. This work can lead to design of cooling tools adapted to a given application, and suits as well for the full wafer imprint, as well for the roll imprint.
EUV Source I
icon_mobile_dropdown
Laser-produced EUV light source development for HVM
Akira Endo, Hideo Hoshino, Takashi Suganuma, et al.
We develop a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We achieve an average laser power of 3 kW at 100 kHz with a single laser beam that has very good beam quality. In a first step, a 50-W light source is developing. Based on a 10-kW CO2 laser this light source is scalable to more than 100 W EUV in-band power.
EUV source development for high-volume chip manufacturing tools
Uwe Stamm, Masaki Yoshioka, Jürgen Kleinschmidt, et al.
Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2&pgr; sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2&pgr; sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.
LPP EUV source development for HVM
This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. The results from full-scale prototype systems are presented. In addition, several smaller lab-scale experimental systems have also been constructed to test specific physical aspects of the light sources. This report reviews the latest experimental results obtained on these systems with a focus on the topics most critical for a source intended for use in high volume manufacturing (HVM). LPP systems have been developed for light-sources applications to enable EUV scanners for optical imaging of circuit features at nodes of 32 nm and below on the international technology roadmap for semiconductors (ITRS). LPP systems have inherent advantages over alternate source types, such as discharge produced plasmas (DPP), with respect to power scalability, source etendue, collector efficiency, and component lifetime. The capability to scale EUV power with laser repetition rate and pulse energy is shown, as well as the modular architecture for extendability. In addition, experimental results of debris mitigation techniques and witness sample lifetime testing of coated multi-layer-mirrors (MLM) are described and used to support the useful lifetime estimation of a normal incidence collector. A roadmap to meet requirements for production scanners planned well into the next decade is also presented.
Tin inventory for HVM EUVL sources
Tin is one of the most efficient source materials for both gas discharge plasma sources and laser produced plasma sources for EUV lithography. Unlike Xenon which was the material commonly investigated for the EUVL source application, recycling of the target materials is not necessary for tin targets because of its low relative cost. However, in assessing the benefits of different source architectures, there are large differences in the size of the tin inventory used, and consequences that ensue. In this paper we make a first attempt to compare these differences, and assess their impact. Utilizing tin as the radiator at 13.5 nm reduces the total cost of the source system significantly.
Microdischarge EUV source array and illuminator design for a prototype lithography tool
B. E. Jurczyk, R. A. Stubbers, D. A. Alman, et al.
The joint specification projected in-band EUV power requirements at the intermediate focus will rise beyond 185W 2%-bw to maintain the necessary 80-100WPH throughput for economic viability. New improvements in photon efficiency and mask illumination are needed to reduce reflections and power demand, as well as improving source spatial uniformity. In 2006, Starfire Industries presented a microdischarge plasma light source concept for consideration as a potential HVM solution for high-power spatial and temporal multiplexing. Using a distributed array architecture, thermal and particle loadings become manageable when spread over 100s to 1000s of discrete units allowing power scalability. In addition, a key tenant is the potential for novel collection and illumination geometries that could simulate Kohler and pupil fill effects found in conventional fly's-eye mirror systems; thus leading to a reduction in optical elements and a factor of >5x increase in total throughput. A top-level illuminator optical design based on the microsource array technology is presented, as well as thoughts on illumination efficiency, reticle uniformity, partial coherence and uniformity of the pupil fill for a realistic EUV source array. In addition, experimental data from xenonbased sources will be presented with a suite of plasma and optical diagnostic instruments, including conversion efficiency.
EUV Imaging I
icon_mobile_dropdown
Initial experience establishing an EUV baseline lithography process for manufacturability assessment
O. R. Wood II, D. Back, R. Brainard, et al.
The International Venture for Nanolithography (INVENT) initiative announced in mid 2005, a unique industry-university consortium between the College of Nanoscale Science and Engineering at Albany and a group of leading edge integrated device manufacturers, has launched an extensive R&D program on EUV lithography (EUVL). The overall scope of the INVENT EUVL program, the status of our efforts to establish a baseline lithography process on a full-field EUVL scanner, and our progress in evaluating EUV resist materials, in designing a custom reticle for scanner characterization and in developing an actinic EUV mask imaging microscope, are discussed.
Recent results from the Berkeley 0.3-NA EUV microfield exposure tool
Patrick P. Naulleau, Chris N. Anderson, Kim Dean, et al.
Operating as a SEMATECH resist test center, the Berkeley 0.3-NA EUV microfield exposure tool continues to play a crucial role in the advancement of EUV resists and masks. Here we present recent resist-characterization results from the tool as well as tool-characterization data. In particular we present lithographic-based aberration measurements demonstrating the long-term stability of the tool. We also describe a recent upgrade to the tool which involved redesign of the programmable coherence illuminator to provide improved field uniformity as well as a programmable field size.
EUVL mask dual pods to be used for mask shipping and handling in exposure tools
Yoshio Gomei, Kazuya Ota, John Lystad, et al.
The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.
Assessment of pattern position shift for defocusing in EUV lithography
In extreme ultraviolet (EUV) lithography, defocusing influences on a pattern position shift due to off-axis incident light on a reflective mask. The pattern position shift with defocusing generates a newly random error source of overlay. Analyzing an exposure and defocus window (ED-window) being merged with a distribution of the pattern position shift reveals that the new error source creates a small impact for the criterion within 10% of the overlay tolerance. Next, 3rd order coma aberration and two modified illumination sources, annular and dipole, are investigated with respect to a pattern position shift which is associated with the asymmetrical phase distribution of diffracted rays. The results show that coma aberrations create no significant impact, and also the modified illumination sources are of little concern whenever semi-dense pitches are forbidden.
Electrostatic chucking of EUVL reticles
Characterizing the effect of electrostatic chucking on the flatness of Extreme Ultraviolet Lithography (EUVL) reticles is necessary for the implementation of EUVL for the sub-32 nm node. In this research, finite element (FE) models have been developed to predict the flatness of reticles when clamped by a bipolar Coulombic pin chuck. Nonflatness measurements of the reticle and chuck surfaces were used to create the model geometry. Chucking was then simulated by applying forces consistent with the pin chuck under consideration. The effect of the nonuniformity of electrostatic forces due to the presence of gaps between the chuck and reticle backside surfaces was also included. The model predictions of the final pattern surface shape of the chucked reticle have been verified with chucking experiments and the results have established the validity of the models. Parametric studies with varying reticle shape, chuck shape, chuck geometry, and chucking pressure performed using FE modeling techniques are extremely useful in the development of SEMI standards for EUVL.
Maskless
icon_mobile_dropdown
Technology mapping technique for enhancing throughput of multi-column-cell systems
Makoto Sugihara, Yusuke Matsunaga, Kazuaki Murakami
The character projection (CP) lithography is utilized for maskless lithography (ML2) and is a potential for the future photomask manufacture. The drawback of the electron beam (EB) lithography is its low throughput and leads to a rise in IC prices. The number of EB shots to project an IC chip determines the fabrication time and the amortization cost and must be reduced. A multi-column-cell (MCC) system is a lithographic one in which column-cells can independently project patterns onto a silicon wafer in parallel with the CP and variable shaped beam (VSB) lithographies. This paper presents a technology mapping technique for enhancing the throughput of MCC systems. Our technique enhances the throughput of MCC systems by reducing the number of EB shots to project an entire IC chip. The target MCC system for which our technology mapping optimizes a circuit is the one which has not uniform but multiform CP masks among column-cells. Such an MCC system can project more cell functions with the CP than a uniform CP masks-based system. Comparing with an conventional technique, our technique has achieved a 19.8% smaller number of EB shots without any performance degradation of ICs under a timing constraint. Moreover, our technique has achieved a 33.4% smaller number of EB shots under no performance constraints. Our technique is easy for both IC designers and equipment developers to adopt because it is a software approach with no additional modification on character projection equipment.
Stage position measurement for e-beam lithography tool
Paul G. Harris, William Lee, Andrew W. McClelland, et al.
With an evident requirement for Gaussian electron beam direct write lithography for prototyping and development on 300mm substrates below the 32nm node Vistec Lithography began the development of a new tool. A key requirement of this tool development was the integration and use of an interferometry solution for stage position measurement. Existing products had shown limitations in their practical application and performance and a new solution sought by the design team. Vistec entered into a development programme with Renishaw to utilise their newly developed interferometry systems.
Defect inspection of positive and negative sub-60nm resist pattern printed with variable shaped e-beam direct write lithography
C. Arndt, C. Hohle, J. Kretz, et al.
For Electron Beam Direct Write (EBDW) a systematic investigation of defect density using a Negevtech 3100 darkfield inspection system was performed. A special defect learning pattern for memory applications with coverage of 50% was designed and printed partially on 300mm wafers using chemically amplified positive and negative E-Beam resists. By optical defect measurements post litho it was possible to inspect 50nm dense lines to characterize the exposure system as well as the used resist process. Using this method a large exposed area in millimeter range can be inspected and an overview on exposure quality can be gained in a reasonable amount of time. Particle measurements were performed additionally to distinguish between particles and exposure issues. By using darkfield measurements, process related issues like development problems and resist residuals can be found, as well as writing issues like shot butting and write field stitching can be quickly determined and controlled with this method. In this paper, the measurement methodology is described as well as the effect of writer imperfections on the darkfield images. A pareto analysis is performed and shows the frequency of occurrence of different defects. Measures to reduce defects - especially on the tool side - are given. The method is feasible to use in a regular check to control tool and process performance.
A study of voltage contrast image using Monte Carlo simulation
Using Monte Carlo simulation, we studied voltage contrast (VC) image caused by negative charging. In order to simulate the VC image, we have developed an electron scattering program based on a consideration of the spatial charge conduction model. Also we have established a cluster computing system of 60 CPUs to shorten the processing time. Using a Monte Carlo simulator, we succeeded in obtaining the simulated VC image. Comparison between simulated images and experimental images reveals that the simulated images are in good agreement with some experimental images.
Alignment method of low-energy electron-beam direct writing system EBIS using voltage contrast image
We have developed the EBIS (Electron Beam Integrated System), which is a character projection (CP) type low-energy electron-beam direct writing (LEBDW) system. In this system, the proximity effect due to backscattering electrons is very small under the condition that the energy of primary electron is 5 keV. However, there is a serious problem, in that the signal of the mark buried under a thick insulator couldn't be detected. To overcome this problem, we adopted a mark detection method using Voltage Contrast (VC) image with negative charge on the sample surface. So far, we have detected the signal of alignment mark buried under 600nm-thick (nmt) tri-layer resist using VC image on EBIS. Then we exposed overlay patterns with alignment using the mark detection with VC image. The mark image is very clear with a sufficiently high contrast. The asymmetry originating from VC is mitigated by means of FB scanning. Using this VC mark detection method, EB drawing was performed with alignment with 600nmt tri-layer resist on Si substrate. Moreover, VC mark detection with 600nmt tri-layer resist on the substrates of back-end-of-line (BEOL) of logic device was performed and the mark images with sufficient contrast were obtained. Although the characteristic distortion of VC image exists, mark detection is possible by using X/Y separate scanning, which consists of X-direction scanning to get an X position and Y-direction scanning to get a Y position in non-charged area.
NIL II
icon_mobile_dropdown
An electrical defectivity characterization of wafers imprinted with step and flash imprint lithography
For the first time, electrically testable snake and comb structures were used to quantitatively characterize the defectivity associated with imprint lithography, specifically with Step and Flash Imprint Lithography. Whereas the overall yield for quarter micron optically-patterned snakes was found to be approximately 95%, the corresponding value for imprinted snakes was about 84%. The yield of imprinted snakes was found to fall rapidly with decreasing feature size. For example, the yield of 1:5 50 nm short snakes was only about 55%. Complementary optical inspection suggested feature pullout (release agent failure and mechanical layer separation) was a prevailing occurrence. Qualitatively, defects were binned into four primary, broad categories: self-cleaning template defects; non self-cleaning template defects; imprint-impeding defects; and template damaging defects. Additionally, the template cleaning process employed was found to be fairly efficient at removing particles, particularly when considering defects at the larger feature sizes. There is no doubt that the control of defectivity will be the next large hurdle that will challenge imprint lithography as it strives to make inroads in manufacturing arenas. Finally, a future study is planned with improved etch barrier and transfer layers.
Nanoimprint lithography for the direct patterning of nanoporous interlayer dielectric insulator materials
Directly patterning dielectric insulator materials for semiconductor devices via nanoimprint lithography has the potential to simplify fabrication processes and reduce manufacturing costs. However, the prospect of mechanically forming these materials, especially when they are highly porous, raises concerns about their physical integrity. We report the direct imprinting of 100 nm parallel line-space patterns into a high modulus poly(methylsilsesquioxane)-based organosilicate thin film that is capable, in its non-patterned form, of meeting the ultra-low dielectric constant requirement of k ≈ 2.3. Immediately after imprinting a (5 to 10) % shrinkage in the pattern height of the partially vitrified patterns relative to the mold is quantified using X-ray reflectivity. Nanoscopic pores with an average diameter of approximately 2.2 nm are then generated in the patterns at high temperatures, through the volatilization a second phase porogen, while the material simultaneously vitrifies into a glassy organosilicate network. Pattern shape changes upon vitrification are also quntified and indicating that a 12 % reduction in the pattern height of the porogen-loaded imprint is observed with very little change in the pattern width. For a imprint without the added porogen, the shrinkage is still anisotropic in the height direction, but reduced approximately by 4 %. Our results show that nanoporous low-k patterns can be replicated via nanoimprint lithography with very little loss in the pattern quality.
Ultra-violet nanoimprint lithography applicable to thin-film transistor liquid-crystal display
We propose a very large-area (> diagonal 20 inch) ultraviolet nanoimprint lithography (UV-NIL) process as a breakthrough strategy for the thin-film transistor liquid-crystal display (TFT-LCD) industry. The large-area UV-NIL process is a promising alternative to expensive conventional optical lithography for the production of TFT-LCD panels. In this study a UV-NIL process using a large area hard stamp in a low vacuum environment is presented. The hard stamp made from quartz is used for achieving a high overlay accuracy and the vacuum environment is employed to ensure that air bubble defects are not formed during imprinting. It is demonstrated that the quartz stamp can be used for imprinting diagonal 20-in. substrates via single-step UV-NIL in a low vacuum environment. Experimental results demonstrate the potential of the proposed approach as a low-cost lithographic process applicable to flat panel displays.
Toward 22 nm for unit process development using step and flash imprint lithography
Gerard M. Schmid, Ecron Thompson, Nick Stacey, et al.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and Flash Imprint Lithography (S-FILTM) is a unique method that has been designed from the beginning to enable precise overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates. This paper addresses steps required to achieve resolution at or below 32 nm. Gaussian beam writers are now installed in mask shops and are being used to fabricate S-FIL templates. Although the throughput of these systems is low, they can nevertheless be applied towards applications such as unit process development and device prototyping. Resolution improvements were achieved by optimizing the ZEP520A resolution and exposure latitude. Key to the fabrication process was the introduction of thinner resist films and data biasing of the critical features. By employing a resist thickness of 70 nm and by negatively biasing features as much as 18 nm, 28 nm half-pitch imprints were obtained. Further processing improvements, including a high resolution lift-off method, show promise for achieving 20 nm half pitch features on a template.
Soft UV-based nanoimprint lithography for large-area imprinting applications
T. Glinsner, U. Plachetka, T. Matthias, et al.
The International Technology Roadmap for Semiconductors (ITRS) lays out a quite challenging path for the further development of the patterning techniques needed to create the ever-smaller feature sizes. In recent years the standard lithography reached its limits due to the diffraction effects encountered and the necessary complexity of compatible masks and projection optics. The restrictions on wavelength, in combination with high process and equipment costs, make low cost, simple imprinting techniques competitive with next generation lithography methods. Nanoimprint Lithography (NIL) is predicted as one candidate for the 32 nm and 22 nm technological nodes according to the ITRS. There are several NIL techniques which can be categorized depending on the process parameters and the imprinting method - either step & repeat or full wafer imprinting. A variety of potential applications has been demonstrated by using Nanoimprint Lithography (e.g. SAW devices, vias and contact layers with dual damascene imprinting process, bragg structures, patterned media) [1,2]. In Soft UV-NIL processes the overlay alignment accuracy was not demonstrated to be prepared for nanoelectronic devices; however other applications are already in high volume manufacturing such as the production of optical components (e.g. micro lenses).
Joint Session with conference 6519: EUV Resist
icon_mobile_dropdown
Chemically amplified resists resolving 25 nm 1:1 line: space features with EUV lithography
James W. Thackeray, Roger A. Nassar, Robert Brainard, et al.
We have investigated a number of key resist factors using EUV lithography including activation energy of deprotection. Our standard high activation resist material, MET-2D (XP5271F), is capable of robust performance at CDs in 40 nm regime and thicknesses above 100 nm. Below 100 nm film thickness, controlling acid diffusion becomes a difficult challenge. We have also developed a low activation resist (XP6305A) which shows superior process window and exposure latitude at CDs in the 35 nm regime. This resist is optimal for 80 nm film thickness. Lastly, we have demonstrated 25 nm 1:1 resolution capability using a novel chemical amplification resist called XP6627. This is the first EUV resist capable of 25 nm resolution. The LER is also very low, 2.7 nm 3&sgr;, for the 25 nm features. Our first version, XP6627G, has a photospeed of 40 mJ/cm2. Our second version, XP6627Q, has a photospeed of 27 mJ/cm2. Our current focus is on improving the photospeed to less than 20 mJ/cm2. The outstanding resolution and LER of this new resist system raises the possibility of extending chemically amplified resist to the 22 nm node.
Advanced Mask II
icon_mobile_dropdown
New requirements for the cleaning of EUV mask blanks
Extreme ultraviolet (EUV) substrates have stringent defect requirements. For the 32 nm node, all particles larger than 26 nm must be removed from the substrate. However, real defects are irregularly shaped and there is no clear dimension for an irregular particle corresponding to 26 nm. Therefore, the sphere equivalent volume diameter (SEVD) for a native defect is used. Using this definition and defect detection measurements, all particles larger than 20 nm must be removed from the substrate. Atomic force microscopy (AFM) imaging and multiple cleaning cycles were used to examine the removal of particles smaller than 50 nm SEVD. Removal of all particles larger than 30 nm was demonstrated. Particles that required multiple cleaning processes for removal were found to be partially embedded. The best cleaning yield can be obtained if the cleaning history of the substrate is known and one can choose the proper cleaning processes that will remove the remaining particles without adding particles. Ag, Au, Al2O3, Fe2O3, and CuO particles from 30 nm to 200 nm were deposited on quartz surface. It was shown that these deposited defects are much easier to remove than native defects.
Experimental and simulation investigations of acoustic cavitation in megasonic cleaning
Krishna Muralidharan, Manish Keswani, Hrishikesh Shende, et al.
Extreme ultra-violet (EUV) lithography has become the technique of choice to print the ever-shrinking nanoscale features on the silicon wafer. For successful transfer of patterns on to the wafer, the EUV photomask cannot contain defects greater than 30 nm. Megasonic cleaning is a very successful cleaning technique for removal of particles on photomasks, but also causes a relatively high amount of damage to the fragile EUV photomasks thin film structures. Though it is believed that acoustic cavitation is the primary phenomenon responsible for cleaning as well as pattern damage, a fundamental picture of the acoustic cavitation mechanisms in play during megasonic cleaning has not yet clearly emerged. In this study, we characterize the role of acoustic cavitation in megasonic cleaning by examining the effects of acoustic power densities, cleaning solution properties, and dissolved gas content on cavitation via experiments and molecular dynamics (MD) simulations. MD is an atomistic computation technique capable of modeling atomic-level and nanoscale processes accurately making it well suited to study the effect of cavitation on nano-sized particles and patterns.
Photonic band-gap masks to enhance resolution and depth of focus
John Nistler, Koby Duckworth, Jiri Chaloupka, et al.
The authors introduce initial simulation work on Photonic Bandgap Enhanced or PBE reticles that tends to address the manufacturing problems associated with typical PSM reticles while improving potential resolution capabilities to 35 and 25 nm utilizing 193 nm immersion lithography. The proposed approach for manufacturing reduces the overall defect issues associated with PSM approaches.
Properties of EUVL masks as a function of capping layer and absorber stack structures
Hwan-Seok Seo, Jinhong Park, Seung-Yoon Lee, et al.
We have fabricated extreme ultraviolet lithography (EUVL) blank masks consisting of a TaN absorber, Ru capping layer, and Mo/Si multilayers using ion-beam sputter deposition and investigated their dependence on capping layer and absorber stack structure. At EUV wavelengths, the reflectivities of the multilayers, including their dependency on the thickness of the capping and absorber layers, are in good agreement with simulation results obtained using Maxwell equations and the refractive indexes of each layer. Ru, one of the most promising capping materials on Mo/Si multilayers due to its resistance to oxidation and selectivity to etching, also shows better EUV reflectivity than Si as a capping layer if we choose a thickness that produces a constructive interference. To meet the reflectivity requirements (⩽ 0.5 %) in the SEMI EUVL mask standard specifications, a TaN absorber at least 70 nm thick should be applied. However, aerial image results simulated by using EM-Suite show that 40 nm is sufficient for the TaN absorber to display the maximum image contrast. In addition, horizontal-vertical (HV) biasing effects due to mask shadowing become negligible if the TaN is reduced to about 40 nm. As a result, we suggest using a thin TaN absorber 40 nm thick since it is able to minimize mask shadowing effects without a loss of image contrast.
Novel Lithography
icon_mobile_dropdown
Molecular-ruler nanolithography
Charan Srinivasan, J. Nathan Hohman, Mary E. Anderson, et al.
Molecular-ruler nanolithography uses individual molecules as building blocks to create nanometer-scale features in a low-cost, high-throughput process. Self-assembled multilayers are used in combination with radiation-sensitive polymeric resists to interface nanometer-scale features with structures fabricated using conventional lithographic methods. This technique is advantageous for its high precision, parallel processing, and low capital investment. Here, we provide an overview of molecular-ruler nanolithography and describe how this technology is being applied to the creation of nanometer-scale devices, patterning of large-area sub-200-nm grating structures, and the fabrication of quartz templates for use as molds in imprint lithography.
Scissionable bile acid nanostructures for lithography
Robert P. Meagley, Geeta Sharma, Ankur Guptab
Pixelated photoresists, i.e. resists that compartmentalize photochemistry into discrete imaging elements are an emerging design for improved resolution. A pixelated design seeks to overcome chaotic organization in complex resist formulations through application of small regular or symmetric imaging species, and/or through the application of preorganization of resist components. [1] Another approach, backbone scission, has also emerged as a powerful method to improve resist performance. [2] In this approach, the parts of the resist structure that have undergone radiation driven chemistry are disconnected from the unaffected material. This enhances contrast and also confers an additional mechanism: structural disruption. Bile acids have been used recently as building blocks to enable host-guest chemistry [3] and have been incorporated as additives in photoresists [4] and structural elements [5]. They as a class are fairly large (mw ~400) highly functionalized molecules possessing a hydrophobic face, alcohol groups and a carboxylic acid group. We describe here a scissionable pixelated resist architecture based on bile acids bound by acid-sensitive tertiary ester linkages into dendrimeric arrays. This design seeks to employ structural disintegration and catalyst pre-organization in addition to solubility switching as contrast mechanisms. Preliminary EUV and ebeam studies have shown G0 and G1 materials capable of sub-micron imaging.
Stretched polymer nanohairs by nanodrawing
Hoon Eui Jeong, Sung Hoon Lee, Pilnam Kim, et al.
We present a simple method for fabricating high aspect-ratio polymer nanostructures on a solid substrate by sequential application of molding and drawing of a thin polymer film. In this method, a thin polymer film is prepared by spin coating on a solid substrate and the temperature is raised above the polymer's glass transition while in conformal contact with a poly(urethane acrylate) (PUA) mold having nano-cavities. Consequently, capillary force induces deformation of the polymer melt into the void spaces of the mold and the filled nanostructure was further elongated upon removal of the mold due to tailored adhesive force at the mold/polymer interface. The optimum value of the work of adhesion at the mold/polymer interface ranged from 0.9 to 1.1 times that at the substrate/polymer interface. Below or above this range, a simple molding or detachment occurred, corresponding to earlier findings.
Direct three-dimensional nanoscale thermal lithography at high speeds using heated atomic-force microscope cantilevers
Yueming Hua, Shubham Saxena, Jung Chul Lee, et al.
This paper reports a novel lithography method that utilizes local nanoscale thermal decomposition of polymer films using heated atomic force microscope cantilever probe tips. Cross-linkable polymers, for example based on poly(hydroxystyrene) (also referred to as PHOST), are used as the writing material in these methods. The experimental results show that the cross-linked polymer can prevent the thermal flowing induced by melting of the polymer, and very fine feature can be achieved. 100 nm lines have been successfully written using a heated cantilever probe in a cross-linked PHOST film. 60 &mgr;m/sec writing speeds have also been achieved using this technology. The amount of material decomposed by the heated tip can be very well controlled by modulating both the cantilever probe temperature and writing speed. This ability to modulate the removal rate of material from the film makes it possible to directly pattern 3-D structures into a polymer film using such heated AFM cantilever tips.
Focused ion beam nano patterning for fabrications of III-nitride light emitting diodes
M. Y. Kim, Y. C. Park, S. S. Hong, et al.
Photonic device structures often require nano scale lithography techniques for their device fabrication. The techniques are electron beam lithography and FIB(focused ion beam) pattering. Focused ion beam etching has been used as a nanolithography tool for the creation of these nanostructures without mask. We obtain nano scale mesa patterns on InGaN/GaN LED(light emitting diodes) wafer using focused ion beam and characterized. The InGaN/GaN LED wafer was grown by molecular organic vapor deposition (MOCVD). To reduce the surface damage during FIB patterning, we used a dielectric mask layer and wet etching to eliminate re-deposition of sputtering materials and Ga+ ion implantations and ion damage layer during FIB patterning, and finally, removed SiO2 with wet etching. A metal thin layer was deposited by an ion beam sputter to avoid charging effects during FIB patterning. We obtain a 2-Dimensional patterning for the fabrication of the high brightness LEDs. This FIB pattering technique can be applied to nanofabrication optoelectronic devices.
EUV Imaging II
icon_mobile_dropdown
Rigorous model for registration error due to EUV reticle non-flatness and a proposed disposition and compensation technique
The non-telecentricity of EUV lithography exposure systems translates into a very severe specification for EUV mask flatness that is typically 10 times tighter than the typical current specification for masks used in 193 nm wavelength exposure systems. The mask contribution to the error budget for pattern placement dictates these specifications. EUV mask blank suppliers must meet this specification while simultaneously meeting the even more challenging specification for defects density. This paper suggests a process flow and correction methodology that could conceivably relax the flatness specification. The proposal does require that the proposed method of clamping the mask using an electrostatic chuck be accurate and reproducible. However, this is also a requirement of the current approach. In addition, this proposal requires the incorporation of an electrostatic chuck into a mask-shop metrology tool that precisely replicates the behavior of the chuck found in the EUV exposure tool.
Status and path to a final EUVL reticle-handling solution
In extreme ultraviolet lithography (EUVL), the lack of a suitable material to build conventional pellicles calls for industry standardization of new techniques for protection and handling throughout the reticle's lifetime. This includes reticle shipping, robotic handling, in-fab transport, storage, and uses in atmospheric environments for metrology and vacuum environments for EUV exposure. In this paper, we review the status of the industry-wide progress in developing EUVL reticle-handling solutions. We show the industry's leading reticle carrier approaches for particle-free protection, such as improvements in conventional single carrier designs and new EUVL-specific carrier concepts, including variations on a removable pellicle. Our test indicates dual pod approach of the removable pellicle led to nearly particle-free use during a simulated life cycle, at ~50nm inspection sensitivity. We will provide an assessment of the remaining technical challenges facing EUVL reticle-handling technology. Finally, we will review the progress of the SEMI EUVL Reticle-handling Task Force in its efforts to standardize a final EUV reticle protection and handling solution.
Performance estimation of EUV exposure optics for below 32-nm node in consideration of Mo/Si multilayer coating
Takahiro Sasaki, Kazuhiko Kajiyama, Hideki Morishima, et al.
The EUV lithography is the most promising candidate for the next generation semiconductor exposure technology to fabricate fine patterns of 32nm and below 32nm, now that ArF immersion technology is expected to cover the 45 nm node these days. This paper focuses on the optical performance of EUV projection optics that will be the lithography tool under 32nm node. EUV projection optics is consists only of the mirrors, and the number of mirrors varies depending on the numerical aperture (NA) of projection optics. As the NA becomes higher, more mirrors are required. For example, it is expected that 6-mirror projection optics (6M-PO) could have 0.25NA, and 8-mirror projection optics (8M-PO) could have over 0.35NA. Assuming a process factor K1 of 0.6, EUV projection optics with NA of 0.25 can achieve 32nm resolution, and projection optics with NA of 0.35 can achieve 22nm resolution. Therefore, 6M-PO and 8M-PO are suitable for 1st EUV generation and 2nd EUV generation, respectively. In EUV optics, each mirror has multi-layered Mo/Si coating to get high reflectivity. The reflectivity is extremely sensitive to the layer thickness of coating, the exposure wavelength, and the ray incidence angle, so the multilayer coating is designed to give a best performance at exposure wavelength of 13.5nm. This means that thickness and optical indices in the multilayer coating cause serious degradations on the imaging performance. Therefore, more mirrors might cause greater degradation on the image performance. This paper discusses the relationships between the multilayer coatings and the imaging performance in order to apply EUV lithography for below HP32nm technology node. We compare 6M-PO to 8M-PO from the point of view of the multilayer coatings numerically. 1. Imaging performance with error-less multilayer coating in consideration of chromatic aberration Even If the multilayer coating without any coating errors can be coated on all of the mirrors, the chromatic aberration cannot be avoided. We compare the imaging performance of 6M-PO to 8M-PO in consideration of chromatic aberration. 2. Influence of multilayer coating errors on transmittance of optical system and aberration There are some kinds of multilayer coating errors. In this paper, we discuss thickness errors that have a great influence on transmittance and aberration. 3. Influence of contamination on aberration We discuss the influence of carbon contamination films that deposit on the top surface of the multilayer coatings.
EUV Source II
icon_mobile_dropdown
Thermal management design and verification of collector optics into high-power EUV source systems
Giovanni Bianucci, Fabio E. Zocchi, Giorgio Pirovano, et al.
A dual-mirror grazing incidence collector produced by Media Lario Technologies was integrated into a high-power, Xefueled gas discharge produced plasma (GDPP) source test stand at XTREME technologies, and tested at power levels responding to the productivity demands of the extreme ultra-violet (EUV) lithography beta exposure systems. The test campaign conducted at different source repetition rates in steady state and transient operating modes provided data for the verification and improvement of the thermo-optical model of the source-DMT-collector system used for the thermooptical design of the collector. The final thermo-optical model of the steady state regime was cross-validated by the numerical solution of the transient tests, which is solely based on the experimental temperature readings. Among the salient results, the cooling system integrated on the collector removed the 1 kW heat load absorbed by the dual-mirror optics, maintaining the temperature of the optics within 20-25 °C temperature range, with an input cooling water temperature of 18.6 °C. Additional validation came from tests performed on a single-mirror collector in a vacuum based, thermo-optical visible test bench installed at Media Lario Technologies, which provided a closed loop validation of the thermal budget, finite element model, and Monte Carlo ray tracing optical prediction.
Low-cost EUV collector development: design, process, and fabrication
Cost of ownership (COO) is an area of concern that may limit the adoption and usage of Extreme Ultraviolet Lithography (EUVL). One of the key optical components that contribute to the COO budget is the collector. The collectors being fabricated today are based on existing x-ray optic design and fabrication processes. The main contributors to collector COO are fabrication cost and lifetime. We present experimental data and optical modeling to demonstrate a roadmap for optimized efficiency and a possible approach for significant reduction in collector COO. Current state of the art collectors are based on a Wolter type-1 design and have been adapted from x-ray telescopes. It uses a long format that is suitable for imaging distant light sources such as stars. As applied to industrial equipment and very bright nearby sources, however, a Wolter collector tends to be expensive and requires significant debris shielding and integrated cooling solutions due to the source proximity and length of the collector shells. Three collector concepts are discussed in this work. The elliptical collector that has been used as a test bed to demonstrate alternative cost effective fabrication method has been optimized for collection efficiency. However, this fabrication method can be applied to other optical designs as well. The number of shells and their design may be modified to increase the collection efficiency and to accommodate different EUV sources The fabrication process used in this work starts with a glass mandrel, which is elliptical on the inside. A seed layer is coated on the inside of the glass mandrel, which is then followed by electroplating nickel. The inside/exposed surface of the electroformed nickel is then polished to meet the figure and finish requirements for the particular shell and finally coated with Ru or a multilayer film depending on the angle of incidence of EUV light. Finally the collector shell is released from the inside surface of the mandrel. There are several potential cost and fabrication advantages to this process. There is flexibility in the choice of material for producing the mandrel - this allows for optimizing the cost of fabrication of the mandrel. Moreover, since the final surface and figure of the collector optic can be modified, after electroforming the optic, the mandrel, in principle does not have a limited lifetime. Finally, the mandrel provides mechanical support to the electroformed optic throughout the fabrication process, thereby reducing deformation of the optic during polishing and coating. The optical design, optimization of collection efficiency, fabrication and characterization results is discussed in this work.
Energetic and thermal Sn interactions and their effect on EUVL source collector mirror lifetime at high temperatures
J. P. Allain, M. Nieto, M. Hendricks, et al.
Exposure of collector mirrors facing the hot, dense pinch plasma in plasma-based EUV light sources remains one of the highest critical issues of source component lifetime and commercial feasibility of EUV lithography technology. Studies at Argonne have focused on understanding the underlying mechanisms that hinder collector mirror performance under Sn exposure and developing methods to mitigate them. Both Sn ion irradiation and thermal evaporation exposes candidate mirrors tested (i.e., Ru, Rh and Pd) in the experimental facility known as IMPACT (Interaction of Materials with charged Particles and Components Testing). Studies have led to an understanding of how Sn energetic ions compared to Sn thermal atoms affect three main surface properties of the collector mirror: 1) surface chemical state, 2) surface structure and 3) surface morphology. All these properties are crucial in understanding how collector mirrors will respond to Sn-based EUV source operation. This is primarily due to the correlation of how variation in these properties affects the reflectivity of photons in the EUV spectral range of interest (in-band 13.5-nm). This paper discusses the first property and its impact on 13.5-nm reflectivity. Investigation in the IMPACT experiment has focused on Sn thermal and energetic particle exposure on collector mirrors (Ru, Pd and Rh) and its effect on mirror performance as a function of incident thermal flux, incident ion flux, incident angle and temperature. This is possible by a new state-of-the-art in-situ EUV reflectometry system that measures real time relative EUV reflectivity at 15-degree incidence and 13.5-nm during Sn exposure. These results are then compared to at-wavelength EUV reflectivity measurements using the newly upgraded NIST-SURF facility. Sn energetic ions at 1- keV and fluxes of about 1013 cm-2s-1 are used in conjunction with a moderate flux Sn evaporative source delivering Sn fluences ranging from 1015-1017 cm-2. The temperature of the mirror sample is locally varied between 25 and 200 C with the chemical state of the surface simultaneously monitored using X-ray photoelectron spectroscopy, and lowenergy ion scattering spectroscopy. Results demonstrate the balance between energetic and thermal Sn has on the total Sn surface fraction during exposure and its effect on the structural and reflective properties of the mirror surface.
Application of the Energetiq EQ-10 electrodeless Z-Pinch EUV light source in outgassing and exposure of EUV photoresist
Formulating high sensitivity and high resolution EUV Resists is a critical issue gating the adoption of EUV lithography. The ability of resist manufacturers to quickly screen outgassing rates and sensitivity of EUV resists will facilitate faster formulation of a production-ready EUV photoresist. The high power and low cost per watt of the Energetiq EQ-10 light source enables relatively simple designs without complex optics to deliver relevant data efficiently. Because the source operates without electrodes, a significant source of contamination is removed, further simplifying the design of exposure systems. Data will be presented from two prototype exposure systems. The first, in operation at Osaka University, Japan, has been used for in-band flood exposure experiments to test resist sensitivity and develop photochemical modeling capability. The second, in operation at SUNY-Albany, integrates exposure/sensitivity with outgassing measurements (GC/MS and RGA) and also allows direct tests of mirror contamination, at power densities near those required for Beta exposure tools. Features of both experiments have been integrated into a commercial device. Details of this tool -- the Litho Tech Japan EUVES-7000 system for resist outgassing and exposure -- will be presented at this meeting.
Optimization of EUV laser and discharge devices for high-volume manufacturing
A. Hassanein, V. Sizyuk, T. Sizyuk, et al.
Both Laser and Discharge produced plasma (LPP and DPP) are being used as a light source for EUV lithography. A key challenge for the EUV radiation plasma devices is achieving sufficient brightness to support the throughput requirements of High-Volume Manufacturing lithography exposure tools. One method for improving source brightness is to simulate the source environment in order to optimize the EUV output. An integrated model for the description of hydrodynamics and optical processes in DPP and LPP devices has been developed and integrated into the HEIGHTS-EUV computer simulation package. Model development consisted of several main tasks: plasma evolution and magnetohydrodynamic (MHD) processes; detailed photon radiation transport, and physics of plasma/electrode interactions in DPP devices, scattering processes of the neutral and charged particles of plasma. Advanced numerical methods for the description of magnetic compression and diffusion in 2D and 3D geometries are used in the HEIGHTS package. Radiation transport of both continuum and lines is taken into account with detailed spectral profiles in the EUV region. Monte Carlo methods are used for the modeling of the radiation transport processes, laser radiation absorption, and the debris particles behavior in magnetic field.
Poster Session: Advanced Mask
icon_mobile_dropdown
Detection signal analysis of actinic inspection of EUV mask blanks using dark-field imaging
Toshihiko Tanaka, Tsuneo Terasawa, Nobuyuki Iriki, et al.
The development of defect-free mask blanks including inspection is one of challenges for the implementation of extreme ultraviolet lithography (EUVL). Among others, inspection of multilayer coated mask blanks with no oversight of critical defects is a challenging issue for providing mask blanks with free defects. In this paper, the printability of a small defect located underneath the reflective multilayer is studied, and the possibility of inspection of the defect is investigated using MIRAI proof-of concept (POC) actinic inspection tool with a 26x Schwarzschild optics of numerical aperture (NA) of 0.2. A critical defect giving a troublesome CD change can be detected. And the through focus characteristics in various shape defects are also analyzed.
Development of EUV mask handling technology at MIRAI-Selete
Kazuya Ota, Mitsuaki Amemiya, Takao Taguchi, et al.
We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.
Impact of interface treatment with assisted ion beam on Mo-Si multilayer formation for EUVL mask blanks
Kenji Hiruma, Yuusuke Tanaka, Shinji Miyagaki, et al.
To reduce the surface roughness of a substrate for mask blanks for extreme ultraviolet (EUV) lithography, the layers of a Mo-Si multilayer structure being deposited by magnetron sputtering were treated with an assisted ion beam. The effectiveness was analyzed by atomic force microscopy, X-ray reflection diffraction, and EUV reflectivity measurements, which revealed a large improvement in the interface and surface roughness, resulting in a multilayer with better EUV performance than one formed without such treatment.
Spectrally investigated optimization for high optical transmission of the C-shaped nano apertures
Due to the maturity of current laser technology, the limitation of empirical wavelengths of lasers useful for optical microlithography makes spectrally investigated optimization very important for the design of C-shaped nano apertures; in order to achieve high optical transmission as well as tight focusing, the resonant transmission of nano apertures depends on the incident light's wavelength. The optically propagating transmission through the nano aperture, determined by the effects of the propagating field and evanescent field, is also important in order to achieve deep patterning. High power throughput and decaying behaviors of propagating light through nano apertures depend on their resonance condition which is affected by geometric parameters and material properties as well as the wavelength of incident light. In this report, we analyze the spectral properties of resonant transmission of light through a C-shaped nano aperture in a metal film using a finite-difference time-domain (FDTD) simulation. These simulations may be helpful for the design of C-shaped nano apertures for high power throughput with tight focusing. Furthermore, we show that power dissipation of propagating light through the C-shaped nano aperture depends on metals.
Design of metal slits for higher harmonic fringe patterns generated with surface plasmon interference lithography
In this paper, based on numerical study using the finite difference time domain method, we designed metal slits for higher harmonic fringe patterns generated with surface plasmon interference lithography. The slits were designed to generate higher fringe patterns having high intensity output, high contrast and good uniformity in sub-100nm scale. After fabricating several types of slits on aluminum film mask according to the calculated designs with a focused ion beam facility, lithography experiments using the aluminum slits were performed to record the near-filed fringe patterns using i-line Hg lamp and SU-8 negative photoresist.
The effect of localized mask density variations on image quality in EUV lithography
The impact of Stray Light (also sometimes called 'scattered light' or 'flare') in lithographic exposure tools is one of the key issues in EUV lithography to reduce its level to less than 10%. EUV mask can also be considered as one of the scattering sources because EUV mask used in memory and logic devices has various kinds of patterns with localized density variations, which are determined by patterned multilayer area. The most efficient way to decrease influences of stray light can be a combination of selective biasing and dummy implementation. In this paper, the effect of EUV mask density variations on image quality is investigated in terms of process window, such as LWR, depth of focus, resolution, etc., while mask density surrounding features is varied from dark field to bright field by implementing dummy patterns. As a result, allowable mask background density, which does not affect image quality of surrounding features and decreases effects of stray light, is suggested.
Corning ULE glass can meet P-37 specifications
William Rosch, Lorrie Beall, John Maxon, et al.
Corning ULE® glass is a binary SiO2 + TiO2 composition formed directly using a flame hydrolysis process. ULE possesses a very low thermal expansion range that can also be accurately adjusted for various applications including EUV photolithography. For ULE to be used for mask blanks and optics applications, it is also necessary that the material be capable of meeting stringent flatness and roughness specifications. For ULE, small compositional striations have been shown to affect the surface quality by inducing mid-spatial frequency roughness during polishing. Therefore, the main challenge has been to reduce mid-spatial frequency roughness to an acceptably low level by diminishing compositional striations present in the glass. Recently, a combination of predictive modeling and experimentation has resulted in a process that reduces striae to the levels needed for EUV masks and optics. These models have enhanced the fundamental understanding of the glass forming process, leading to process adjustments both in oscillation patterns and additional thermal treatments producing glass with improved striae characteristics. ULE masks with reduced striae have been polished to mid-spatial frequency roughness peak-to-valley levels of less than 8 nm. This sub-8 nm topography accounts for less than 20% of the total 50 nm flatness error budget allowable for EUVL masks. These results indicate that Corning's ULE product can meet the P-37 surface finishing specifications, and combined with ULE's superior CTE performance is positioned as the material of choice for EUV mask blanks.
Evaluation and selection of EUVL-grade TiO2-SiO2 ultra-low-expansion glasses using the line-focus-beam ultrasonic material characterization system
We proposed a new coefficient-of-thermal-expansion (CTE) evaluation method for ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system. In this paper, we investigated evaluation procedures for photomasks and optical mirrors with practical size used as reflective optics in extreme ultraviolet lithography (EUVL) systems. Two specimens were prepared with their surfaces parallel to the striae plane from commercial TiO2-SiO2 ultralow- expansion glass ingots. Homogeneities/inhomogeneities of specimens were evaluated at 225 MHz. Evaluation procedures with sufficient accuracy were established for analysis of striae parameters such as striae periodicity and variations. Our ultrasonic method should be standardized as a new evaluation method not only for development of the EUVL-grade glass and evaluation of the production processes, but also for quality control and selection of the production lots.
Defect mitigation and reduction in EUVL mask blanks
Rajul Randive, Andy Ma, Ira Reiss, et al.
Fabrication of nearly defect-free mask blanks is one of the most significant challenges facing the commercialization of extreme ultraviolet lithography (EUVL). Despite significant advances in our ability to clean substrates, the incoming substrate contributes more defects than the multilayer to the total number of defects on our lowest defect density mask blanks. This is because cleaning processes are ineffective against substrate pits, which dominate the substrate defect distribution. Fortunately, defect mitigation methods have been developed that use a coat-and-etch process to smooth substrate pit and particle defects. We have designed and installed a process module specifically for smoothing substrate pits and particles. This process module has several new features, such as the ability to isolate the etch source during the deposition steps, and should enable cleaner planarizations than those done before. Currently, the greatest challenge for us is to demonstrate that the smoothing process can be rendered clean enough for manufacturing. We will present results on the particles added during planarization and the composition of these particles, which is critical to identifying their origin and eliminating them.
Poster Session: Nano-imprint
icon_mobile_dropdown
Low-viscosity and fast-curing polymer system for UV-based nanoimprint lithography and its processing
Marko Vogler, Markus Bender, Ulrich Plachetka, et al.
One of the key elements for the successful integration of nanoimprint lithography into industrial production processes is the availability of high-performance resist materials. In this contribution we present a novel low-viscosity and fast curing UV-NIL polymer, which is applied by spin-coating and designed for wafer-scale imprinting. Systematic investigations of photocurable components and photoinitiators led to the formulation of the polymer system mr-UVCur06. Film thicknesses in the range of 50 - 500 nm with excellent quality and uniformity could be obtained by spin-coating. Its suitability for UV-NIL processes was evaluated by means of imprinting tests and plasma etching investigations. This included investigations on imprinting with hard moulds, UV curing doses, resolution, etch rates using various plasma gases and pattern transfer. The beneficial flow behaviour of mr-UVCur06 led to short UV-NIL cycle times. Patterns of several orders of magnitude with feature sizes in the range of 30 nm to several tens of micrometers could be imprinted simultaneously. An example of a pattern transfer into Si was shown, where mr-UVCur06 was used as an polymer etch mask.
Photocurable silicon-based materials for imprinting lithography
Step and flash imprint lithography (SFIL) is low cost, high resolution patterning process and has found its way into a multitude of front end of the line (FEOL) and back end of the line (BEOL) applications. SFIL-R, a reverse tone variant of SFIL, and imprintable dielectrics are examples of such applications, and both require the design of specialized, silicon-based materials. Polyhedral oligomeric silsesquioxane (POSS) liquids were modified through a dual functionalization strategy to introduce photosensitive acrylate and thermally curable benzocyclobutane (BCB) groups to the molecule. The optimal functional group ratio was observed to be 3:5 acrylate to BCB, and the result was an imprintable dielectric with good mechanical properties and minimal post-exposure shrinkage. Thermal gravimetric analysis (TGA) revealed good thermal stability with minimal mass loss under annealing conditions of 400°C for 2 hours. Si-14 was designed to be a non-volatile, etch-resistant planarization layer for SFIL-R application. A polydimethylsiloxane (PDMS) derivative was modified to introduce acrylate functional groups and side branching for photosensitivity and low viscosity, respectively. Characterization of the material showed ideal planarization characteristics - low volatility (0.77 Torr at 25°C), low viscosity (15.1 cP), and minimal post-exposure shrinkage (5.1%).
Nanoimprinting with SU-8 epoxy resists
Donald W. Johnson, Harris Miller, Mike Kubenz, et al.
This paper reports on the evaluation of XP SU-8 4000NPG for potential use in nanoimprint applications using hot UV imprint lithography. The use of this material is advantageous in that it can be imprinted, exposed and sufficiently cured all at the same temperature without any temperature cycling providing an isothermal process leading to short cycle times. Uncured XP SU-8 4000NPG has a Tg below 10ºC, yet its films are sufficiently robust to be handled at temperatures from 40 to 70ºC. This resist exhibits excellent flow properties in this temperature range, which is also a range where the post exposure bake of the exposed areas is sufficient to lock in the imprinted patterns and allow easy stamp removal. Wafers can be spin coated with the 4000NPG to provide films of less than 100nm thickness to more than 500 nm and subsequently baked to remove the residual coating solvent. Precoated wafers are introduced into the imprint tool and placed on a pre-heated chuck for a few seconds to reach the set temperature, and then the imprint stamp is applied under pressure for 30-60 sec to allow adequate time to properly fill the mold. While still in the mold, the resist is exposed through the transparent stamp and simultaneously cured for as little as 10 sec in order to remove the stamp without tearing or pattern deformation. The wafer can then be immediately removed from the imprint tool. The optimal temperature is a balance between resist flow, cure rate and the thermal stresses imparted into the cured film at the higher operating temperatures.
mr-NIL 6000: new epoxy-based curing resist for efficient processing in combined thermal and UV nanoimprint lithography
Christine Schuster, Mike Kubenz, Freimut Reuther, et al.
We report the new epoxy-based curing resist mr-NIL 6000 designed for thermal NIL, where the curing reaction is initiated by UV exposure and concurrently occurs at elevated temperature, both preferably in the imprint machine. Especially for the application in NIL the requirements to a resist differ much from those in radiation-based lithography where epoxy-based resists have been used for many years. High sensitivity is vital for a short cycle time. The imprint temperature is determined by the glass transition temperature (Tg) of the resist system before curing and roughly controls Tg of the cured polymer, which on its part, affects the mold release temperature and the thermal stability of the imprints. An epoxy resin with low Tg was chosen allowing imprinting at 100 °C or lower temperature. UV-coupled differential scanning calorimetry (Photo DSC) was applied to assist establishing the conditions of the resist processing. Optimum processing conditions were finally elaborated in imprinting tests. Flow tests were performed with the imprinted and cured resist patterns. The optimum imprint temperature was determined to be 100 °C. The imprinted patterns exhibited good dimensional stability up to at least 120 °C. This allows releasing the mold at the imprint temperature and running an isothermal process. The thermal stability is sufficient for subsequent processes, such as etching or metallization. The curing reaction during imprinting enables excellent pattern transfer fidelity and a high aspect ratio of the imprinted features. A short cycle time of ~ 1 min could be achieved so that the resist is promising for industrial applications.
Structure and stability characterization of anti-adhesion self-assembled monolayers formed by vapor deposition for NIL use
Sophie Garidel, Marc Zelsmann, Pauline Voisin, et al.
Anti-sticking efficiency remains a key issue in nanoimprint lithography. In order to address this problem, a selfassembled monolayer (SAM) of a fluorinated silane release agent is generally applied to the stamp surface, either in wet or in vapour phase. We present here the study on vapour deposition of (tridecafluoro-1,1,2,2-tetrahydrooctyl)TriChloroSilane (F13-TCS) and wet and vapour deposition of a commercial product, OPTOOL DSX from Daikin. They are both fluorinated silanes used for the formation of anti-adhesive layers in nanoimprint lithography. Results will be compared in term of anti-adhesion properties and homogeneity for the obtained layers. Characterizations are made by means of contact angle measurements, Fourier Transform Infra-Red analysis and Atomic Force Microscopy observations. The vapour phase deposition of F13-TCS allows us to reach surface energies as low as 11mN/m without increasing initial roughness. OPTOOL DSXTM deposition in wet phase presents comparable results, but with an increased roughness mainly due to the deposition procedure. The durability of the formed layers was investigated as a function of number of prints. For both materials, a significant degradation of the anti-adhesion properties occurs after few imprinted dies.
Template flatness issue for UV curing nanoimprint lithography
P. Voisin, A. Jouve, M. Zelsmann, et al.
UV curing Nanoimprint Lithography (UV-NIL) is an emerging lithographic technique, seen as a potential candidate for the 32nm node by the ITRS road map. As the stamp is in direct contact with the substrate, template flatness is a critical issue in addition to standard optical lithography mask requirements (high-resolution, low defectivity, CD control...). This is why we propose to study the impact of the template flatness on the reproduction quality and on the imprint uniformity. After having studied the Residual Layer Thickness (RLT) uniformity intra-dies and compared it to different stamps flatness, the impact of UV-NIL process optimisations, such as the substrate quality and filling times, on RLT uniformity intra- and inter-dies were evaluated. We observed that a high stamp waviness is always transferred into the resist, while a low stamp waviness (under a few hundreds of nanometers range) has no impact on the RLT uniformity.
Imprint solutions, costs, and returns of patterning LED’s
High resolution patterning of LED's has shown the potential to significantly increase the light output. There are 3 different imprint strategies being proposed to manufacture these devices. These imprint solutions and all the support equipment for cleaning, coating, and etching form an imprint cell. This paper will compare the imprint cell and process solutions. Imprint is probably the only patterning technology that can deliver sub 100 nm features at a low enough cost to be of interest to the LED manufacturers. Patterning fine features on LED wafers must be able to deal with rough, non flat wafers. The competing imprint solutions rely on either; 1) flexing the wafer or 2) flexing the template or 3) making the surface of the template compliant, The published data on wafer non-flatness, and the compliance of the different solutions will be compared. Process solutions are still needed to eliminate residual non-conformality. The overall margin of the different process solutions will be compared, multilayer processes can tolerate 2.5 x larger residual layer variation than single layer imprint.
Whole wafer imprint patterning using step and flash imprint lithography: a manufacturing solution for sub-100-nm patterning
David Lentz, Gary Doyle, Mike Miller, et al.
Imprint lithography has been shown to be an effective technique for the replication of nano-scale features1. When the imprint material is a UV cross linkable liquid, it is possible to perform the patterning process at room temperature and ambient pressure, which enables good pattern fidelity, short processing times, and reduced process defectivity2. Imprinting whole wafers using drop on demand dispense techniques offers improved throughput and nanopatterning over wafer topography which can exceed 10 μm. Template fabrication of arbitrary whole wafer patterns offers unique challenges for 1x feature fabrication. The resolution and pattern area of the imprint approach is strictly dependent on the ability to create a 1X master template. This paper provides a detailed description of whole wafer templates, imprint patterning processes, and etch processes that have been employed to create a whole wafer archetype process through hard mask patterning. Particular attention is given to high volume manufacturing focused on whole wafer template fabrication, throughput and pattern fidelity. Step and Flash Imprint Lithography (S-FILTM) makes use of templates that can be fabricated with the same patterning and etch transfer processes that are used for manufacturing phase-shifting photo masks. In the case of whole wafer templates the master die pattern is fabricated using conventional techniques. The replicate template carries the full wafer die pattern imprinted by step and repeat using the master. The S-FIL/R process can be used for patterning the replicate template3. The structure, pattern fidelity and critical dimension uniformity of the master and replicate templates and patterned wafer is shown to be within measurement errors.
Poster Session: ML and E-beam Lithography
icon_mobile_dropdown
Exposure characteristics of character projection-type low-energy electron-beam direct writing system
Takayuki Satoh, Ryoichi Inanami, Katsumi Kishimoto, et al.
We have developed a character projection (CP)-type low-energy electron beam (EB) direct writing (EBDW) system called EBIS (Electron Beam Integrated System). A low-energy EB of less than 5 keV has the potential to expose by the CP-method without intra- and inter-layer proximity effect corrections. In this paper, the advantages of the proximity effect of the low-energy EBDW system of 5 keV with the CP exposure are discussed. The experimental results to compare the intra-layer proximity effect between 5 keV and 50 keV showed that the low-energy EB has an advantage over high-energy EB in terms of small shot size deviation at the pattern edge. The experimental results of inter-layer proximity effect of 5 keV indicate that no proximity effect corrections for structures in underlying layers are necessary in the case of the combination of low-energy EB and multi-layer resist. On the other hand, in response to concern about the Coulomb interaction effect, which is a critical problem of low-energy EB, a dose correction function of each shot was proposed for the EBIS system. We are convinced that the low-energy EBDW is useful for exposure of practical patterns of logic devices by the CP exposure with higher throughput, because the proximity effect is so small that complicated corrections due to the adjacent pattern and structures of substrate under exposure layer are unnecessary.
Data processing system in electron beam direct writing to obtain photolithography friendly resist patterns
Hiromi Hoshino, Yasuhide Machida
Faster development of products is being increasingly demanded by the growing diversification of the electronics market. Quickly producing small lots of prototype chips is increasingly required for system LSIs made using leading-edge semiconductor process technologies, in order to test their functions and performance in actual products. In view of these trends, maskless lithography can create a development environment to enable cheaper costs and shorter periods. In mass production, however, lithography using photo-masks is used because of high productivity. Using an exposure technology different from mass production causes different physical phenomenon in the lithography process, and it forms different images. In this paper, we describe a data processing method for making each printed image correspond between lithographic printing systems which are electron beam lithography and photolithography of a different exposure source. The method has features which are to distinguish differences in the contour data obtained from each lithography simulation, to modify design data based on the difference information, and to register the design data in a design data library for electron beam exposure. Moreover, we demonstrated that our data processing system was able to make the electron beam exposure data obtain the same shape as the shape of resist patterns by photolithography. We report on the data processing system because we have finished a basic examination of our data processing method.
Recent progress of a character projection-type low-energy electron-beam direct writing system
Kouhei Noguchi, Katsuhide Watanabe, Hidetoshi Kinoshita, et al.
We have developed a Character Projection (CP)-type, low-energy Electron-Beam Direct Writing (EBDW) system for a quick turnaround time and mask-less device fabrication of small production lots with a variety of designs. The exposure time has been decreasing because the irradiation time of electrons is being reduced by development of high-sensitivity resist and by decrease in the number of EB shots with the CP method, and the amplifiers of the deflectors have attained specifications required by EBIS. In order to further increase the throughput, overhead time, that is, the exposure waiting time, must be shortened. This paper describes our strategy for reducing the exposure waiting time. The reduction ratio of the exposure waiting time was about 60% and the throughput was increased about 20%.
Shot noise effect on LER and throughput in LEEPL system
LEEPL (Low Energy Electron-Beam Proximity Projection Lithography) uses low energy of electrons of 2 KV. In such a low energy, electrons behaves quite differently in the resist to higher energy electrons even such as in 10 KV. Under these conditions the statistical variations of electrons known as shot noise and its effect to LER is known to be much smaller than a simple consideration of shot noise variation due to the primary electrons alone. In order to estimate how much smaller the effective LER in LEEPL, we introduce a reduction factor: f which is the ratio of the shot noise component of the observed LER against the shot noise factor due to the statistical variation of primary electrons alone. The value of f was estimated as 0.38 from two independent methods, namely one of from experimental result and other from the computer simulation. Furthermore the analysis is extended to taking account of the effect of the acid diffusion in the case of CAR resist. Then the value of f is further reduced to 0.25. Finally, as consequence of this analysis, we obtain the throughput of LEEPL tool as approximately 80, 60, 40 W/Hr for 65, 45, 32 nm device nodes respectively. As conclusion, CoO of LEEPL is several times smaller than that of ArF Immersion and EUV systems.
Poster Session: EUV Imaging
icon_mobile_dropdown
CD budget analysis on hole pattern in EUVL
Nobuyuki Iriki, Hajime Aoyama, Toshihiko Tanaka
In this paper we focus exclusively on hole process. The motivation here is to investigate on the performance of EUVL for hole patterning in relation to contributions from mask, exposure tool, and resist process. In this paper we investigated the patterning characteristics of arrayed, staggered, and isolated holes including features showing trench patterns.
Fidelity of rectangular patterns printed with 0.3-NA MET optics
Arrays of rectangular patterns of various sizes were printed with the EUV micro-exposure tool (MET) at the Lawrence Berkeley National Laboratory (LBNL) using the chemically-amplified resist MET-1K; and their fidelity to the mask patterns was evaluated. The experimental results showed that the shortening of resist patterns in the lengthwise direction was greater for smaller patterns. For example, the line-end shortening of half-pitch (hp) 45-nm patterns was about 20-25 nm on one side, while that of hp-90-nm patterns was less than 10 nm. However, simulated aerial images exhibited little shortening, even for hp-45-nm patterns. On the other hand, considerable shortening appeared in hp-45-nm patterns after post-exposure-baking (PEB) process. When the acid diffusion length in the PEB process was assumed to be 20 nm, the calculated shapes of resist patterns agreed well with the experimental results for various sizes. Printing experiments showed that lowering the PEB temperature improved fidelity, probably due to the shorter acid diffusion length. Thus, we concluded that acid diffusion is the main cause of shortening in rectangular patterns printed with the MET. For better pattern fidelity, the acid diffusion length must be reduced in accordance with the reduction in pattern size.
EUV exposure experiment using programmed multilayer defects for refining printability simulation
Availability of defect-free masks is one of the most critical issues for enabling EUV lithography. Among others, multilayer phase defects embedded in EUV blanks are primary concern because multilayer defects as low as 2nm are expected to be printable. Therefore, thorough understanding of defect printability through experiments is anticipated to derive critical sizes of multilayer defects. A test mask with programmed multilayer defects has been fabricated for this purpose with various sizes of defects as well as various protrusion sizes of defects from the absorber lines. As the first step toward rigorous printability prediction that can comprehend any arbitrary-shaped multilayer defects, line defects parallel to absorber lines have been selected for printability experiments using micro exposure tool (MET) in Lawrence Berkeley National Lab. for direct comparison with 2D simulation. The simulation of electro-magnetic field with multilayer defects has been carried out using finite-element-method with triangular cells that well match the needs for incorporation of minute change in multilayer profile as observed in a TEM photograph. The experiments have proved that there is systematic correlation between the sizes of defect protrusion from beneath the absorber line with printed line CD. The defect with protrusion size of 33nm on mask, however, did not show any evidence of defects while aerial image simulation indicated there should be detectable difference in print results. Root cause investigation for this gap indicated that the multilayer bump affected the absorber width and profile above and hence generated the gap between experiments and simulation that assumed identical absorbers. Simulation with exact absorber shape input well matched experimental results.
Lithographic metrics for the determination of intrinsic resolution limits in EUV resists
Patrick P. Naulleau, Christopher N. Anderson, Bruno La Fontaine, et al.
Resist resolution remains a significant issue for EUV. Strong concerns remain with the use of chemically amplified resist owing to their diffusion characteristics. Currently EUV resist development is primarily focused on large-scale screening efforts in an attempt to identify platforms showing promise in a variety of areas with resolution arguably being the parameter of highest importance at this time. The characterization of the intrinsic resolution limit of resists, however, is not a trivial issue due to practical complications such as pattern collapse and top-loss. Note that the intrinsic resist resolution limit has been claimed to be determined by the resist diffusion length and various metrics have been proposed to characterize this diffusion length as well as resist resolution. Here we investigate a variety of resolution and diffusion length metrics and study the correlation between these metrics and observed resist performance when applied to a variety of leading EUV resists. The metrics we study include iso-focal bias, line-edge-roughness correlation length, resist modulation transfer function, and corner rounding.
Absorption of extreme ultraviolet radiation in photoresists
Extreme ultraviolet (EUV) lithography is one of the promising techniques for the fabrication of semiconductor features at or below 32 nm. One of the key parameters that can affect photoresist performance is their absorption characteristics at EUV wavelengths. The measurement of the absorption length or absorbance is important because it causes the dose to vary through the thickness of resist which can result in underexposure deeper in the resist. One method for measuring absorption length of a resist is by direct measurement of the transmission of EUV radiation through the resist when it is on a transparent membrane. The results of these measurements show the absorbance for different photoresists currently used for extreme ultraviolet lithography.
Process window study with various illuminations for EUV lithography applications
EUV lithography has the ability to support 22 nm logic manufacturing and beyond. Similar to the DUV lithographic systems, partial coherence on EUV lithographic systems can have a big impact on process latitude for critical layers. Thus, it is important to understand the effect of partial coherence on EUV imaging systems. In this paper, process windows with various illumination settings are investigated. The experiments are conducted using the MET station at the Advance Light Source (ALS). In addition to the annular and dipole illuminations which reported in our last paper1, C-quad and Quad illuminations are used to explore the impact of the partial coherence on the process window. Even though the MET system has resolutions below 30nm dense lines, the exposures are targeted for 60nm, 50nm, and 45nm dense features due to the resist limitation. The experimental results are compared with simulation results using Intel's lithography modeling tool, I-Photo. Resist and aerial image threshold models are used for the comparison study. The experimental results correlate well with the resist based simulation results, but some discrepancies are observed for the aerial image threshold cases. We believe the discrepancies are due to the resist limitations. We found that the dipole shows the largest Depth of Focus for dense lines and spaces.
Characterization of low-order aberrations in the SEMATECH Albany MET tool
Patrick Naulleau, Justin Waterman, Kim Dean
Previous papers have reported on print-based methods used to measure the aberrations in the SEMATECH Berkeley EUV microfield exposure tool (MET). The data showed that the tool has larger aberrations than those measured during interferometry (both visible and EUV) performed before the optic was integrated into the tool. The same analysis has been performed on the SEMATECH Albany MET to measure the low-order aberrations. As with the SEMATECH Berkeley tool, quantitative aberration measurements have revealed elevated levels of astigmatism and spherical error. Additionally, we find elevated levels of coma and field tilt and curvature.
Characteristics and prevention of pattern collapse in EUV lithography
Pattern collapse for line widths under 32 nm printed by extreme ultra-violet lithography (EUVL) is investigated by using commercial tools. Pattern collapse phenomenon occurs very often in actual process. Pattern collapse means that pattern is bending, peel-off, and break of the resist, thus it affects the production and yield of semiconductor. In this paper, we newly defined and investigated the critical aspect ratio. Pattern collapse happens if the critical aspect ratio is smaller than aspect ratio. Because EUV resist has smaller adhesive strength than currently available DUV and ArF resists, EUV resist easily collapse more easily than DUV resist does. This phenomenon is successfully modeled.
Extreme ultraviolet interference lithography with incoherent light
Patrick P. Naulleau, Christopher N. Anderson, Stephen F Horne
In order to address the crucial problem of high-resolution low line-edge roughness resist for extreme ultraviolet (EUV) lithography, researchers require significant levels of access to high-resolution EUV exposure tools. The prohibitively high cost of such tools, even microfield tools, has greatly limited this availability and arguably hindered progress in the area of EUV resists. To address this problem, we propose the development of a new interference lithography tool capable of working with standalone incoherent EUV sources. Although EUV interference lithography tools are currently in operation, presently used designs require illumination with a high degree of spatial and/or temporal coherence. This, in practice, limits current systems to being implemented at synchrotron facilities greatly restricting the accessibility of such systems. Here we describe an EUV interference lithography system design capable of overcoming the coherence limitations, allowing standalone high-power broad sources to be used without the need for excessive spatial or temporal filtering. Such a system provides promising pathway for the commercialization of EUV interference lithography tools.
A short-pulsed laser cleaning system for EUVL tool
Masami Yonekawa, Hisashi Namba, Tatsuya Hayashi, et al.
A traditional method of reticle protection, using a pellicle, is thought to be difficult to apply to EUVL tool. There is a possibility that some particles adhere to the surface of a reticle. In order to resolve this reticle issue, we are investigating in-situ short-pulsed laser cleaning system. In order to confirm whether it can be applied to EUVL tool or not, we have to verify experimentally that it is possible to remove particles in vacuum without any damage to a Mo/Si multilayer. In this work, as a short-pulsed laser, a Q-switched YAG Laser (wavelength: 266~1064 nm, pulse duration time: ~7 ns) has been used. As experimental results, at the pressure on the order of 10-3 Pa, around 100% removal rate to PSL (organic) particles can be achieved without particular damage using a DUV laser. And also, it is found that a laser cleaning method in vacuum is easier to remove particles on a surface than that in atmosphere and for SiO2 and Ni (inorganic) particles, this method is particularly effective. This reason can be explained that the drag force exerted to a particle by the surrounding gas molecules is neglected at the pressure on the order of 10-3 Pa because a gas is in free molecule regime.
Poster Session: EUV Optics
icon_mobile_dropdown
Polarization dependence of multilayer reflectance in the EUV spectral range
Frank Scholze, Christian Laubis, Christian Buchholz, et al.
The Physikalisch-Technische Bundesanstalt (PTB) with its laboratory at the electron storage ring BESSY II supports the national and European industry by carrying out high-accuracy at-wavelength measurements in the EUV spectral region, particularly to support the development of EUV lithography, which holds the key to the next generation of computer technology. PTB operates an EUV reflectometry facility, designed for at-wavelength metrology of full-size EUVL optics with a maximum weight of 50 kg and a diameter of up to 550 mm and a micro-reflectometry station for reflectometry with sub 10 μm spatial resolution. An absolute uncertainty of 0.10 % is achieved for peak reflectance, with a reproducibility of 0.05 %. For the center wavelength an uncertainty of 2 pm is achieved with a long-term reproducibility of 1.1 pm and a short-term repeatability below 0.06 pm. Measurements at PTB use linearly polarized radiation, whereas EUV optics are operated with unpolarized sources and the status of polarization changes throughout the optical system. Therefore, to transfer these high-accuracy measurements to the EUV optical components under working conditions, it is essential to study the polarization dependence in detail. The degree of linear polarization in the EUV reflectometer is 97%. Representative polarization dependencies obtained on Mo/Si multilayer coatings over a wide range of angles of incidence reveal that the accuracy of calculations with the IMD-code is presently limited by the optical data available.
Carbon deposition on multi-layer mirrors by extreme ultra violet ray irradiation
Organic gases cause carbon depositions on the multi-layer mirrors by Extreme Ultra Violet (EUV) light irradiations in EUV lithography tool. The dependences on organic gas species, organic gas pressure and EUV light intensity in the carbon deposition were researched in order to understand this reaction. EUV light was irradiated on a (Si/Mo) multilayer mirror sample injecting organic gas like buthane, buthanol, methyl propionate, hexane, perfluoro octane, decane, decanol, methyl nonanoate, diethyl benzene, dimethyl phthalate and hexadecane. X-ray photoelectron spectroscopy measurements revealed that organic gases with heavier molecule weight or higher boiling temperature caused faster carbon deposition rates. Carbon deposition rates increased linearly with organic gas pressures. Dependence on EUV light intensity was estimated from comparisons between an EUV light profile and carbon distributions on irradiated samples. Carbon deposition rates increased rapidly, but became saturated at higher EUV light intensities. Three chemical reactions, an adsorption, a desorption and a carbon deposition by EUV light irradiation, were taken into account to explain the behavior of the carbon deposition. Electron irradiation on a mirror sample revealed that photoelectrons emitting from the mirror surface played an important role in carbon deposition.
Effect of deposition, sputtering, and evaporation of lithium debris buildup on EUV optics
M. J. Neumann, M. Cruce, P. Brown, et al.
One of the critical issues within extreme ultraviolet (EUV) lithography is that of mirror lifetime and the degradation due to debris buildup from the EUV pinch. This work experimentally measures the mitigation of Li debris from collecting on the surface of EUV-like optics through combined use of a helium secondary plasma, evaporation from optic materials at elevated temperatures, and preferential sputtering off of the optic material. This leads to sputter enhanced removal of the lithium debris. This applied research expands the current knowledge base in understanding lithium interactions with a helium plasma and optic surfaces and provides a basis for analytical model development. The ultimate goal is to improve the current state of the art knowledge in lithium-optic material interactions, experimentally test mitigation and renewal of optic materials, and develop a relevant model for the predictive capabilities of the mirror optics while expanding the knowledge base of lithium transport and interaction. Experimental results are measured through the use of profilometry and AFM to quantify the ability to keep the EUV optic in an as received state while being exposed to EUV like lithium debris.
Carbon accumulation and mitigation processes, and secondary electron yields of ruthenium surfaces
B. V. Yakshinskiy, R. Wasielewski, E. Loginova, et al.
Metallic ruthenium capping layers ~2 nm thick protect and extend the lifetimes of Mo/Si multilayer mirrors used in extreme ultraviolet lithography (EUVL) applications. However, Ru-capped mirrors experience a loss of reflectivity after prolonged exposure to EUV radiation. In the present work, we use ultrahigh vacuum surface science methods to address several aspects of Ru surface chemistry that may impact on Ru capping layer stability and mitigation processes. (1) We characterize the composition and stability of Ru surfaces that simulate surfaces of Ru-capped multilayer mirrors, under exposure to different background gases (water, methyl methacrylate (MMA)) and to electron irradiation. Evidence for some mitigation of carbon accumulation during electron bombardment in MMA + water vapor is found. (2) We report the photon-energy dependence of secondary electron yield (SEY) measurements for clean Ru, O-dosed and C-dosed Ru, and Ru-capped multilayer mirrors using synchrotron radiation near 13.5 nm at Brookhaven National Synchrotron Light Source (NSLS). Much of the radiation-induced chemistry on the surfaces of capping layers is induced by low-energy secondary electrons rather than direct photoexcitation, so the SEY is an important parameter affecting mirror lifetimes in EUVL.
Substrate recovery layers for EUVL optics: effects on multilayer reflectivity and surface roughness
I. Nedelcu, R. W. E. van de Kruijs, A. E. Yakshin, et al.
We have investigated the use of separation, or substrate recovery layers (SRL) enabling the re-usage of optics substrates after deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for Extreme UV lithography. An organic material, a polyimide, was applied, from other work known to reduce the roughness of the substrate 1, 2. It appeared to be possible to remove the multilayer coating, including the SRL, without any damage or roughening of the substrate surface. The SRL was spin-coated at 1500 - 6000 rpm on different substrate types (Si, quartz, Zerodur) with diameters up to 100 mm. For this range of parameters, the multilayer centroid wavelength value remained unchanged, while its reflectivity loss, upon applying the SRL, was limited to typically 0.7%. The latter is demonstrated to be caused by a minor increase of the SRL surface roughness in the high spatial frequency domain. The AFM characterized roughness remained constant at 0.2 nm during all stages of the substrate recovery process, independent of the initial substrate roughness.
Long-term durability of a Ru capping layer for EUVL projection optics by introducing ethanol
The inhibition of contamination of Ru-capped Mo/Si multilayer mirrors was systematically investigated by introducing ethanol into a controlled vacuum that mainly consisted of water vapor. Water vapor was introduced up to several partial pressures of 1.0X10-7 to 3.8X10-5 Pa. At the lowest ethanol pressure, the same degree of reflectance degradation as in the water-only case was observed. However, reflectance degradation was suppressed at ethanol pressures higher than 2.0X10-6 Pa. In the condition of ethanol pressure of 2.0X10-6 Pa, the long-term durability of a Ru capping layer was investigated up to an EUV dose of 6000 J/mm2. This dose was corresponded to the 1-year use of a mirror which would be irradiated by the maximum power expected in actual EUVL tools. As a result of this investigation, it was found that reflectance degradation of a Ru capping layer was suppressed to less than 0.5% until 6000 J/mm2 by introducing ethanol.
High-accuracy EUV reflectometer
U. Hinze, M. Fokoua, B. Chichkov
Developers and users of EUV-optics need precise tools for the characterization of their products. Often a measurement accuracy of 0.1% or better is desired to detect and study slow-acting aging effect or degradation by organic contaminants. To achieve a measurement accuracy of 0.1% an EUV-source is required which provides an excellent long-time stability, namely power stability, spatial stability and spectral stability. Naturally, it should be free of debris. An EUV-source particularly suitable for this task is an advanced electron-based EUV-tube. This EUV source provides an output of up to 300 μW at 13.5 nm. Reflectometers benefit from the excellent long-time stability of this tool. We design and set up different reflectometers using EUV-tubes for the precise characterisation of EUV-optics, such as debris samples, filters, multilayer mirrors, grazing incidence optics, collectors and masks. Reflectivity measurements from grazing incidence to near normal incidence as well as transmission studies were realised at a precision of down to 0.1%. The reflectometers are computer-controlled and allow varying and scanning all important parameters online. The concepts of a sample reflectometer is discussed and results are presented. The devices can be purchased from the Laser Zentrum Hannover e.V.
Development of optical component for EUV phase-shift microscopes
Yoshio Mizuta, Masafumi Osugi, Jyunki Kishimoto, et al.
This paper is described about fabrication and evaluation of the beam splitter used in an EUV region. This beam splitter has to be as a free standing, stress control of multilayer is main subject. It is investigated that the dependence of the intrinsic stress between a RF (DC) sputtering power and an argon pressure during the thin film deposition processes. At the low argon pressure, molybdenum and silicon films showed both high compressive stress. However, at the high argon pressure, the molybdenum and silicon films showed low tensile stress and low compressive stress, respectively. Therefore, it was possible to fabricate a multilayer films with low tensile stress by optimizing the argon pressure and applied RF power during deposition. Conclusively, a free-standing semitrasparent multilayer film of 8x22 mm area was fabricated. It shows high reflectance and transmission of near 25% at the wavelength of EUV region.
Poster Session: Nanotechnology
icon_mobile_dropdown
Fabrication of fine pitch gratings by holography, electron-beam lithography, and nano-imprint lithography
Darren Goodchild, Alexei Bogdanov, Simon Wingar, et al.
Fine pitch gratings (200 nm - 240 nm) are required for a variety of devices such as optical filters, semiconductor lasers and sensors for bio-medical applications. Various lithographic techniques are commercially available for fabricating gratings, with the choice depending on the type of grating required, cost and volume of manufacture. It is possible to use state of the art high-resolution projection steppers, common to silicon device manufacturing, for half pitch gratings down to 65 nm, but for much smaller volume manufacturing of photonic devices these tools have a prohibitive cost of ownership. Thus, remaining techniques for sub 120 nm half pitch gratings are holography, electron beam lithography, and nano-imprint lithography. In this paper we compare, characterize, and discuss the practical application of these three methods. Examples of gratings fabricated at the Canadian Photonics Fabrication Centre (CPFC) are shown as well as some application examples.
Three-dimensional X-ray lithography using a silicon mask with inclined absorbers
We proposed a new fabrication method of an X-ray gray mask using MEMS technologies, and we also succeeded in fabricating three-dimensional microstructures on a PMMA sheet by using only a single X-ray exposure. Silicon can be diagonally etched by optimizing the etching condition in a RIE process. We thought X-ray absorbers of an X-ray mask were processed to three-dimensional shape, and a gray mask for the X-ray lithography was fabricated by using a tapered-trench- etching technique. Then, we experimented on the X-ray lithography using the beamline BL-4 in the synchrotron radiation facility TERAS of AIST. The total dose energy was 150 mAxh and the development was performed at the room temperature for 16 h using a GG developer. Sidewalls in the upper part of the PMMA resist structure were inclined and rounded. Especially, the shape of the PMMA resist structure of the line width 20 μm was able to be processed to shape like the target. Thus, the effectiveness of the gray mask that adjusted the thickness of absorber was confirmed by X-ray lithography experiments. Moreover, we experimentally showed that the final shape of PMMA resist structures after the X-ray lithography was predictable by the calculation.
Photon sieve array x-ray maskless nanolithography
We present the lithography scheme that use high-numerical-aperture photon sieves array as focusing elements in a scanning X-ray maskless nanolithography system. The system operating at wavelength of 0.5~2nm synchrotron light sources radiated, each of a large array of photon sieves focuses incident X-ray into a diffraction-limited on-axis nanoscale spot on the substrate coated photoresist. The X-ray intensity of each spot is modulated by means of a spatial light modulator. Patterns of arbitrary geometry are exposed and written in a dot matrix fashion while the substrate on a stepping stage is precisely driven in two dimensions according to the computer program. The characteristics of synchrotron radiation light, resolution limits and depth of focus of the lithographic system are discussed. The design and fabrication of photon sieve are illustrated with a low-numerical-aperture amplitude-photon sieve fabricated on a chrome-coated quarts plate by means of laser-beam lithographic process, which minimum size of pinhole was 5.6um. The focusing performance of the photon sieve operating at wavelength of 632.8nm was simulated and tested.
Magneto-polymer composite particles fabricated utilizing patterned perfluoropolyether elastomer molds
In this work we show the fabrication of magneto-polymer composite particles using a novel technique known as Particle Replication In Non-wetting Templates (PRINT). The shape and size of the composite particle was dictated by a patterned perfluoropolyether (PFPE) mold. The highly fluorinated nature of the PFPE elastomer make it an ideal material for use in soft lithography. Before curing, the colorless liquid is highly wetting a factor that leads to high fidelity between the master and the mold. After curing, its highly fluorinated surface reduces lipophilic solvent uptake and minimizes scum formation. Magnetite nanoparticles, sterically stabilized by covalently bound polyethyleneglycol-silane (PEG-silane) were dispersed in a mixture of PEG-monomethacrylate and PEG-triacrylate. The composite particles were photochemically cured in a UV chamber using the radical photoinitiator diethoxyacetophenone (DEAP). Particles were harvested from the elastomeric mold using either a scraping method or a sacrificial adhesive layer. Particles were purified through repeated rinsing and filtration. Particles were characterized using a variety of techniques including: Scanning Electron Microscopy, Transmission Electron Microscopy, Selected Area Electron Diffraction, and X-ray Diffraction.
Simulating droplet dynamics during evaporation-driven self-assembly
John J. Dyreby, Kevin T. Turner, Gregory F. Nellis
A modeling methodology based on the coupling of free surface energy minimization techniques and computational fluid dynamics (CFD) modeling has been developed for simulating the macro-regime of evaporation-driven self-assembly processes; specifically, those processes that use lithographically defined features to precisely direct the self-assembly of particles on a substrate. Because surface tension dominates the gravitational, inertial, and viscous forces acting on the droplet, the shape of the droplet is determined as a function of its volume and pinning geometry by minimizing its surface energy. The evolution of droplet shape during evaporation is used to define the deforming control volume, over which the governing partial differential equations for conservation of mass, momentum, and particle concentration are solved. By decoupling the free surface and the flow models, a diverse range of problems can be investigated. The macro-scale model is envisioned as one part of a hierarchical model that can be used to study the entire lithographically-directed, evaporation-driven self-assembly process.
Poster Session: EUV Source
icon_mobile_dropdown
Microfocus EUV tube for at-wavelength reflectometry
André Egbert, Stefan Becker
Reliable and compact extreme ultraviolet (EUV) laboratory sources are strongly required for in-house characterization of optical components and for the precise calibration of EUV diagnostic instruments. The EUV tube, based on the transfer of advanced microfocus x-ray tube technology into the EUV spectral range around 13.5 nm, is an important tool for these applications. Great benefits of this source are a compact and flexible design, debris-free operation, and high temporal and spatial long-term stability. Detailed characteristics of the source performance are reported and different examples for at-wavelength metrology are presented.
Characterization of various Sn targets with respect to debris and fast ion generation
We evaluated Sn debris generated from a CO2 laser (10.um) and a Nd:YAG laser (1064nm) plasma. Experiments were performed with bulk Sn-plates (t=1mm) and freestanding Sn-foils (t=15um). Quartz Crystal Microbalances (QCM) were used for debris analysis. We observed a drastically lower deposition for the CO2 laser driven plasma compared with the Nd:YAG laser plasma. In addition, several Sn coated targets with different Sn thickness were investigated for the CO2 drive laser with respect to the generated plasma debris. In general, a 100nm Sn coated glass target generated more debris than the solid Sn target. Especially, we observed for the Sn-plate target that the deposition rate is smaller than the erosion (sputter) rate caused by the plasma ions.
Small field exposure tool (SFET) light source
A laser produced plasma light source for a small field exposure tool (SFET) has been developed at the EUVA Hiratsuka R&D center. The light source consists of the following components: The drive laser of the xenon plasma source is a short-pulse, high-power KrF laser that has been developed in cooperation with Gigaphoton Inc. and Komatsu Ltd. The laser has an unstable resonator and produces a maximum output power of 580W at 4kHz repetition rate. The xenon target is a 50 micrometer diameter liquid jet with a speed of about 35 m/s. The source has been designed to generate 0.5W in-band power at the intermediate focus (IF) at a collecting solid angle of pi sr. The source includes automatic control, e.g. jet and plasma position control, and an electrical interface for the exposure tool. The performance of the source at IF has been evaluated by Canon Inc. This paper explains source performances. Especially, results of IF parameters like image size, position stability and out of band radiation are presented.
Debris characteristics from a colloidal microjet target containing tin dioxide nano-particles
Takeshi Higashiguchi, Sumihiro Suetake, Yusuke Senba, et al.
Characteristics of suprathermal ions and neutral particles from a laser-produced tin plasma by use of a colloidal microjet target containing tin dioxide (SnO2) nanoparticles were investigated. Suprathermal ion emissions were reduced by producing a low-density preplasma. Simultaneously, the maximum conversion efficiency of 1.2% at 13.5 nm with a bandwidth of 2% and a solid angle of 2&pgr; sr was observed. Neutral particles, however, were not suppressed under the optimum laser-plasma conditions.
A report on the modeling efforts in the development of a distributed EUV source for next-generation lithography tools
J. B. Spencer, D. A. Alman, B. E. Jurczyk, et al.
The need for a highly scalable, low debris and long lifetime source of Extreme Ultraviolet (EUV) radiation has been well established in the previous years of this conference. Presented here is Starfire Industries' basic concept of a distributed microdischarge EUV light source which emits little debris, while at the same time achieving conversion efficiencies that can be optimized to approach the theoretical physical limit of ~1.6% in a 2% band centered at 13.5nm into 2&pgr; steradians for xenon plasma. Modeling results will be presented based on a variety of techniques including: advanced magneto hydrodynamics calculations that utilize Prism Computational Science's HELIOS-CR, an improved discharge circuit model that interfaces with and extends HELIOS-CR, and ab initio calculations of optimization of EUV collector geometry. These simulations were used together to study the variation of circuit and geometrical parameters of the Starfire microdischarge EUV source array. The results of this parameter study suggest the optimum control conditions that will allow the production of an array of high brightness and high stability EUV sources for image transfer and high-volume lithographic manufacturing.
Experimental results for an addressable xenon microdischarge EUV-source array for HVM lithography
Brian Jurczyk, Robert Stubbers, Darren A. Alman, et al.
The joint specification projected in-band EUV power requirements at the intermediate focus will rise beyond 185W 2%- bw to maintain the necessary 80-100WPH throughput for economic viability. New improvements in photon efficiency and mask illumination are needed to reduce reflections and power demand, as well as improving source spatial uniformity. In 2006, Starfire presented a novel approach to the EUV source-optic architecture using a high-brightness light source array for direct integration within the illumination optical system. Spatial uniformity and Kohler illumination across the entrance pupil is achieved by dividing the incident light into discrete bundles on a fly's eye mirror. These light bundles form a secondary source image plane that is projected onto the pupil of the projection optics. This configuration allows electronic adjustment of partial coherence and depth of focus for improved lithographic contrast and resolving capability. By distributing total EUV power across discrete units, thermal and particle loadings become manageable without the need for exotic materials or cooling schemes and sources of contaminating debris are reduced. Experimental data from a 5×5 xenon-fed microdischarge source array is presented, demonstrating repetition rate and source addressability for illumination patterning and grayscaling capability. In addition, experimental data from xenon-based sources will be presented with a suite of plasma and optical diagnostic instruments, including conversion efficiency, spectral purity and debris generation. Projections for scaling to HVM conditions will also be presented.
High repetition rate LPP source facility for EUVL
In this work we present the status of our high repetition-rate/high power EUV source facility. The masslimited target concept has demonstrated high conversion efficiencies (CE) previously, with precision solid state lasers. Currently, experiments are in progress with high power high repetition-rate (3-4 kHz) Qswitched laser modules. We present a new dedicated facility for the high power EUV source. Also, we present a precision EUV energy-meter, which is developed for absolute EUV energy measurements. Spectral measurements of the tin-doped droplet laser plasma are performed with a flat-field spectrometer (FFS) with a back-illuminated CCD camera. We address the issue of maintaining the calibration of the EUV optics during source operation at non-optimum intensity at high repetition-rate, which is required for CE improvement studies. Here we present the unique metrology for measuring EUV energies under a variety of irradiation conditions without degrading EUV optics, even at high repetition rates (multi-kHz).
Laser-produced plasma source system development
Igor V. Fomenkov, David C. Brandt, Alexander N. Bykanov, et al.
This paper describes the development of laser produced plasma (LPP) technology as an EUV source for advanced scanner lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193 nm immersion technology for critical layer patterning below 32 nm beginning with beta generation scanners in 2009. This paper describes the development status of subsystems most critical to the performance to meet joint scanner manufacturer requirements and semiconductor industry standards for reliability and economic targets for cost of ownership. The intensity and power of the drive laser are critical parameters in the development of extreme ultraviolet LPP lithography sources. The conversion efficiency (CE) of laser light into EUV light is strongly dependent on the intensity of the laser energy on the target material at the point of interaction. The total EUV light generated then scales directly with the total incident laser power. The progress on the development of a short pulse, high power CO2 laser for EUV applications is reported. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. The deposition of target materials and contaminants, as well as sputtering of the collector multilayer coating and implantation of incident particles can reduce the reflectivity of the mirror substantially over the exposure time even though debris mitigation schemes are being employed. The results of measurements of high energy ions generated by a short-pulse CO2 laser on a laser-produced plasma EUV light source with Sn target are presented. Droplet generation is a key element of the LPP source being developed at Cymer for EUV lithography applications. The main purpose of this device is to deliver small quantities of liquid target material as droplets to the laser focus. The EUV light in such configuration is obtained as a result of creating a highly ionized plasma from the material of the droplets. Liquid tin is the material of choice to be used as a target due to the relatively high CE of the laser energy into in-band EUV radiation. Results obtained with the droplet generator and technical challenges related to successful implementation of the device are discussed.
Gibbsian segregating alloys: a potential solution to minimize collector degradation
H. Qiu, S. N. Srivastava, J. C. Anderson, et al.
A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been proposed to create self-healing, erosion-resistant collector optics. A Mo-Au GS alloy was developed on silicon using a DC dual-magnetron co-sputtering system. A thin Au segregating layer was maintained through segregation during exposure, even though overall erosion was taking place. The reflective material, Mo, underneath the segregating layer remains protected by the sacrificial layer, which is lost due to preferential sputtering. The two dominant driving gradients are temperature and surface concentration (surface removal flux). Both theoretical and experimental efforts were performed to test the suitability of the GS alloy as EUV collection optics, and to elucidate the underlying physics behind it. Results show a strong enhancement effect of temperature, while only a weak effect of surface removal rate on GS performance. When segregation-erosion equilibrium is reached, the surface remains smooth while showing good erosion resistance and maintaining a substantially better reflectivity as compared to the continuous degradation observed in a pure Mo mirror. Further research is recommended.
Debris mitigation techniques for a Sn- and Xe-fueled EUV-light source
Methods for mitigation of debris from a z-pinch plasma are investigated at the University of Illinois at Urbana- Champaign (UIUC). A source is used with either Sn or Xe fuels, which are known to have emission spectra peaks at 13.5nm [1]. The pinch plasma also ejects debris in the form of electrons, ions, neutral particles, macroscopic material, and out-of-band radiation. This debris can damage nearby mirror optics through heating, deposition, and erosion mechanisms. A spherical sector energy analyzer (ESA) is used to distinguish ion debris with respect to energy-to-charge- state ratio up to 13keV [2]. Combined with time-of-flight (TOF) information, the particular species of measured ions can be identified as well. A set of microchannel plates is used with an in-line E-field ion diverter to measure the flux of neutrally-charged atomic particles from the source. Using this method, the neutral flux is measured and the percentage of the ionized flux is noted. An effort is made to match this to theoretical values. A set of parallel plates is placed immediately beyond the debris mitigation tool and a voltage is applied in order to divert charged debris. The result is measured with the ESA.
Comparison of optical performances of alternative grazing incidence collector designs for EUV lithography
Fabio E. Zocchi, Enrico Benedetti
Two designs of grazing incidence collectors for EUV lithography are described as alternative solutions to the type I Wolter configuration. The main purposes of the designs are the improvement of collection efficiency and the increase in the flexibility with which the design can be adapted and adjusted to the boundary specifications set by the source and the illuminator. With reference to a specific scenario, examples of these designs and their performances are presented, discussed, and compared to what can be achieved with a Wolter collector. In this scenario, one of the designs offers the possibility to achieve large collection efficiency with a limited number of mirrors as opposed to the Wolter case where high values of the collection efficiency are possible provided the number of mirrors is increased.
Simulation of EUV spectral emission from laser-produced tin-doped water plasmas
Pamela R. Woodruff, Joseph J. MacFarlane, Igor E. Golovkin, et al.
Tin-based laser-produced plasmas are attractive candidates as extreme ultraviolet light (EUV) sources for lithography. The accurate simulation of the dynamics and spectral properties of plasmas used in radiation source experiments plays a crucial role in analyzing and interpreting experimental measurements, and in optimizing the 13.5 nm radiation from the plasma source. We use HELIOS-CR, a 1-D radiation-magnetohydrodynamics code, along with a detailed and comprehensive atomic database for tin, to simulate the properties and EUV emission from a plasma produced by the interaction of 1.06 μm laser light and a tin-doped water droplet. Simulated spectra are shown to be in good agreement with experimental results at a variety of laser intensities. Simulation results are also presented to examine the ionization distribution for tin at the simulated temperatures and densities.
Characterization of the tin-doped droplet laser plasma EUVL sources for HVM
Tin-doped droplet target has been integrated with several lasers including high power high repetition rate lasers and demonstrated high conversion efficiencies for all the lasers. This implies the EUV source power is linearly increasing as the laser frequency goes higher. The target exhibit very low out-of-band radiation and debris emission. The drawback of increasing the repetition rate of the target and the laser will be limited. The total amount of tin consumed for a EUVL source system is also small enough to be operated for a long term without large effort for recycling of the target materials. We address and demonstrate in this paper the primary issues associated with long-term high power EUV sources for high volume manufacturing (HVM) using tin-doped droplet target.
A mass-limited Sn target irradiated by dual laser pulses for an EUVL source
Y. Tao, M. S. Tillack, K. L. Sequoia, et al.
We present efforts to mitigate debris from laser-produced Sn plasma by introducing a low energy pre-pulse while keeping high in-band conversion efficiency from laser to 13.5 nm extreme ultraviolet (EUV) light. The basic idea is to separate the processes of plasma production and 13.5 nm EUV light generation. A low energy pre-pulse is introduced to create a pre-plume; the main pulse then heats up the pre-plume to the optimum temperature for efficient 13.5 nm EUV light generation. Much lower ion energy and nearly the same conversion efficiency were simultaneously observed from plasma driven by a dual-pulse as compared with that of a single pulse. Thin Sn coating were investigated as a form of mass-limited target. It was found that the higher ion energy normally accompanying the use of a mass-limited Sn target is effectively maintained under 100 eV by using the dual pulse irradiation technique. A Sn coating as thin as 30 nm could generate almost the same conversion efficiency as that obtained with a single pulse and a massive target. It was noted that less gas is required to mitigate ions with lower energy when dual pulses are used. This research enables an efficient, clean, and high-speed mass-limited target supply based on pure Sn for a high volume manufacturing (HVM) EUVL source.
Development of Sn-fueled high-power DPP EUV source for enabling HVM
Yusuke Teramoto, Zenzo Narihiro, Daiki Yamatani, et al.
Discharge-produced plasma (DPP)-based EUV source is being developed at Gotenba Branch of EUVA Hiratsuka R&D Center. A high-repetition-rate high voltage power supply (HVPS) was developed and put into operation on the magnetic pulse compression (MPC)-driven DPP source, enabling 8-kHz operation with 15 J/pulse of maximum charging energy and 0.11 % of stability. SnH4 gas was used as a fuel gas in order to obtain high conversion efficiency. SnH4-fueled Z-pinch source demonstrated EUV power of 700 W/2&pgr;sr within 2 % bandwidth around 13.5 nm. Using a nested grazing-incidence collector, EUV power at the intermediate focus which is defined as an interface to the exposure tool reached 62 W with 3.3 mm2sr of etendue. Tin deposition rate on the collector surface, which is the concern in any tin-fueled EUV sources, was decreased by four orders of magnitude as a result of debris-shield development. Cleaning processes were also developed to enhance total lifetime of the collector. A sequence of intentional deposition and cleaning process for the ruthenium grazing-incidence mirror sample was repeated 13 times. By measuring reflectivity of the mirror, it was confirmed that halogen cleaning process worked very effectively and did not get the mirror damaged after such a long-term cleaning experiment.