From optical proximity correction to lithography-driven physical design (1996-2006): 10 years of resolution enhancement technology and the roadmap enablers for the next decade
Author(s):
Luigi Capodieci
Show Abstract
The past decade has experienced a remarkable synergy between Resolution Enhancement Technologies (RET) in Optical Lithography and Optical Proximity Correction (OPC). This heterogeneous array of patterning solutions ranges from simple rule-based to more sophisticated model-based corrections, including sub-resolution assist features, partially transmitting masks and various dual mask approaches. A survey of the evolutionary development from the early introduction of the first OPC engines in 1996 to the debut of Immersion Lithography in 2006 reveals that the convergence of RET and OPC has also enabled a progressive selection and fine-tuning of Geometric Design Rules (GDR) at each technology node, based on systematic adoption of lithographic verification. This paper describes the use of "full-chip" lithography verification engines in current Design For Manufacturing (DFM) practices and extends the analysis to identify a set of key technologies and applications for the 45, 32 and 22 nm nodes. As OPC-derived tools enter the stage of maturity, from a software standpoint, their use-model is being greatly broadened from the back-end mask tape-out flow, upstream, directly integrated into physical design verification. Lithography awareness into the physical design environment, mediated by new DFM verification tools and flows, is driving various forms of manufacturable physical layout implementation: from Restricted Design Rules and Flexible Design Rules to Regular Circuit Fabrics. As new lithography solutions, such as immersion lithography and EUV, will have to be deployed within a complex technology framework, the paper also examines the trend towards "layout design regularization" and its implications for patterning and next generation lithographies.
The optics of photomasks: from shadowy past to scattered future
Author(s):
Christopher J. Progler
Show Abstract
From an optical imaging perspective, photomask design and topography have evolved over the past 10 years from optically thin, light-blocking apertures to optically thick, volumetric scattering elements. The reduction in mask feature size to the sub-wavelength regime coupled with increases in exposure system numerical aperture will continue to push the optics of a photomask toward a more complex and detailed physical model. Moreover, aggressive implementation of resolution enhancement methods in state of the art lithography create mask layouts that resemble diffractive optical elements rather than the electronic circuit patterns the mask is intended to reproduce. In this paper, we address some of the optical characteristics of photomasks for current lithographic technologies as well as new trends driven by a continued reduction in mask feature size and increased numerical aperture enabled by immersion lithography. As a general conclusion, we find the photomask is best treated and characterized as a true and integrated optical component of the imaging system. By considering the mask from this vantage point, many imaging related issues in advanced lithography may be anticipated and potentially optimized.
The lithographic lens: its history and evolution
Author(s):
Tomoyuki Matsuyama;
Yasuhiro Ohmura;
David M. Williamson
Show Abstract
The history of Nikon's projection lens development for optical microlithography started with the first "Ultra Micro-Nikkor" in 1962, which was used for making photo-masks. Nikon's first wafer stepper "NSR-1010G" was developed with a g-line projection lens in 1980. Since then, many kinds of projection lenses have been developed for each generation of stepper or scanner. In addition to increasing numerical aperture (NA) and field size, there have been many technical transitions for the projection lens, such as shortening the wavelength, controlling Zernike aberrations with phase measurement interferometry (PMI) for low k1 lithography, using aspherical lenses, applying kinematic optomechanical mounts, and utilizing free asphere re-polishing steps in the lens manufacturing process. The most recent advancement in projection lens technology is liquid immersion and polarization control for high NA imaging. NA now exceeds 1.0, which is the theoretical limit for dry (in air) imaging. At each transition, the amount of information that goes through the projection lens has been increased. In this paper, the history of the microlithographic lens is reviewed from several different points of view, such as specification, optical design, lens manufacturing, etc. In addition, future options of the projection lens are discussed briefly.
Characterization of imaging performance for immersion lithography at NA=0.93
Author(s):
Dario Gil;
Jaione Tirapu-Azpiroz;
Ryan Deschner;
Timothy Brunner;
Carlos Fonseca;
Jennifer Fullam;
Dan Corliss;
K. Auschnitt;
Peter Vanoppen
Show Abstract
We present a systematic analysis of the imaging performance for a 0.93 numerical aperture (NA) state-of-the-art immersion lithography scanner and we compare this performance to its dry NA=0.93 counterpart. The increased depth of focus (DOF) enabled by immersion lithography presents a set of advantages for semiconductor manufacturing which we explore in this article. First, we show that 0.93 NA immersion prevents, for a 65nm gate-level process, the need for imposing pitch restrictions with an attenuated-PSM solution; something not possible with an equivalent "dry" process. Second, we demonstrate the superior critical dimension uniformity (CDU) of an immersion process in the presence of realistic focus variations typically encountered in semiconductor manufacturing. Third, we confirm that the through-pitch behavior of "wet" and "dry" scanners is well matched, enabling the possibility of transferring optical proximity corrections (OPC) between the two types of lithography scanners. The transferability of OPC is key to enabling a fast insertion of immersion lithography into the manufacturing process for the 65nm and 45nm nodes. Finally, we conclude that, from an imaging perspective, immersion is ready for high-volume manufacturing.
The next phase for immersion lithography
Author(s):
Harry Sewell;
Jan Mulkens;
Diane McCafferty;
Louis Markoya;
Bob Streefkerk;
Paul Graeupner
Show Abstract
Immersion Lithography is now the most important technique for extending optical lithography's capabilities and meeting the requirements of the Semiconductor Industry Association (SIA) roadmap. The introduction of water as an immersion fluid will allow optical lithography to progress as far as the 45nm (half pitch) node using ArF scanning systems such as the XT1700i. Developments are under way to explore the use of immersion lithography beyond this performance level and toward the 32nm (half pitch) node. This paper examines the progress that has been made, particularly with the use of 2nd-generation immersion fluids. The requirements of the exposure system are defined. Issues associated with achieving the requirements are reviewed and discussed. Special attention is given to clarifying the optical materials and the issues associated with extending optical designs to hyper-numerical aperture (NA) levels. A number of threshold levels for the numerical apertures are set by the refractive index of the available materials in the lithographic film stack. These are defined. The requirements of high refractive index fluids are detailed. The performance of experimental samples is compared to system requirements. Fluid interaction with photoresists and topcoats are examined. The results of stain tests and soak tests for fluid samples on resist are reported. Data is supplied on resist imaging for 32nm line and space L/S.
Immersion lithography robustness for the C065 node
Author(s):
Scott Warrick;
Rob Morton;
Andrea Mauri;
Jean-Damien Chapon;
Jerome Belledent;
Will Conley;
Alex Barr;
Kevin Lucas;
Cedric Monget;
Valerie Plantier;
David Cruau;
Juan-Manuel Gomez;
Emmanuel Sicurani;
Jan-Willem Gemmink
Show Abstract
Semiconductor manufacturers are in the midst of the next technology node C045 (65nm half-pitch) development. The difference this time is that the heavy lifting is being done while swimming. Generally, for the C065 node (hp90), critical layers will be processed using 193-nm scanners with numerical apertures up to 0.85. It is also clear that the capabilities and potential benefits of immersion lithography (at this wavelength and NA) should to be examined, in addition to the development of immersion lithography for the C045 and C032 technology generations. The potential benefits of immersion lithography; increased DOF in the near term and hyper-NA imaging in the next phase, have been widely reported. A strategy of replacing conventional "dry" lithographic process steps with immersion lithographic process steps would allow the benefits of immersion to be realized much earlier. To fully realize this advantage a direct comparison of immersion lithography's benefits and therefore speed learning is needed. However, such an insertion should be "transparent": i.e. the "immersion process" should run with the same reticles (OPC) and resists, as the conventional process. In an effort to gain this knowledge about the immersion processes, we have chosen a path of optimizing and ramping-up the lithographic process for the C065 technology node. In this paper, we report on the compatibility of inserting immersion lithography processes into an established C065 process running in a pilot manufacturing line. We will present an initial assessment of some critical parameters for the implementation of immersion lithography. This assessment includes: OPC compatibility, imaging, process integration, and defectivity all compared to the dry process of record. Finally, conclusions will be made as to the overall readiness of immersion to support C065 node processing in direct transfer from dry and its extendibility to C045. In this work, the C045 technology node (hp65) is the main target vehicle. However, a successful introduction of immersion technology may allow a strategy change complementary with the previous (C065) technology node (i.e. run C065 immersion in production and benefit from larger process windows).
Current status and future prospect of immersion lithography
Author(s):
Soichi Owa;
Hiroyuki Nagasaka;
Katsushi Nakano;
Yasuhiro Ohmura
Show Abstract
Immersion lithography is rapidly approaching the manufacturing phase. A production-quality exposure tool system with NA=1.07 (Nikon NSR-S609B) was constructed to target the start of immersion lithography for IC manufacturing in 2006. Its projection optics have very small wavefront aberration and lowest local flare levels. The overlay issue has been analyzed, and its cause was found to be evaporation cooling. With the tandem stage and local fill nozzle implemented in the S609B, we have successfully avoided the evaporation cooling so that the good wet-to-dry mix-and-match overlay data have been obtained. The major part of immersion specific defects is caused by dried water-droplets, i.e. water-marks. The local fill nozzle has eliminated this defectivity by avoiding air flow in the nozzle. In the future, water immersion with NA=1.30 optics will be used for half-pitch 45nm manufacturing. Finer pattern imaging down to 32nm seems to need high-index material immersion or nonlinear double patterning, but these have several issues and concerns to be solved.
Immersion specific defect mechanisms: findings and recommendations for their control
Author(s):
Michael Kocsis;
Dieter Van Den Heuvel;
Roel Gronheid;
Mireille Maenhoudt;
Dizana Vangoidsenhoven;
Greg Wells;
Nickolay Stepanenko;
Michael Benndorf;
Hyun Woo Kim;
Shinji Kishimura;
Monique Ercken;
Frieda Van Roey;
S. O'Brien;
Wim Fyen;
Philippe Foubert;
Richard Moerman;
Bob Streefkerk
Show Abstract
Defectivity has been one of the largest unknowns in immersion lithography. It is critical to understand if there are any immersion specific defect modes, and if so, what their underlying mechanisms are. Through this understanding, any identified defect modes can be reduced or eliminated to help advance immersion lithography to high yield manufacturing. Since February 2005, an ASML XT:1250Di immersion scanner has been operational at IMEC. A joint program was established to understand immersion defectivity by bringing together expertise from IMEC, ASML, resist vendors, IC manufactures, TEL, and KLA-Tencor. This paper will cover the results from these efforts. The new immersion specific defect modes that will be discussed are air bubbles in the immersion fluid, water marks, wafer edge film peeling, and particle transport. As part of the effort to understand the parameters that drive these defects, IMEC has also developed novel techniques for characterizing resist leaching and water uptake. The findings of our investigations into each immersion specific defect mechanism and their influencing factors will be given in this paper, and an attempt will be made to provide recommendations for a process space to operate in to limit these defects.
Evanescent wave imaging in optical lithography
Author(s):
Bruce W. Smith;
Yongfa Fan;
Jianming Zhou;
Neal Lafferty;
Andrew Estroff
Show Abstract
New applications of evanescent imaging for microlithography are introduced. The use of evanescent wave lithography (EWL) has been employed for 26nm resolution at 1.85NA using a 193nm ArF excimer laser wavelength to record images in a photoresist with a refractive index of 1.71. Additionally, a photomask enhancement effect is described using evanescent wave assist features (EWAF) to take advantage of the coupling of the evanescent energy bound at the substrate-absorber surface, enhancing the transmission of a mask opening through coupled interference.
Enabling the 45nm node by hyper-NA polarized lithography
Author(s):
Wim de Boeij;
Geert Swinkels;
Nicolas Le Masson;
Armand Koolen;
Henk van Greevenbroek;
Michel Klaassen;
Mark van de Kerkhof;
Koen van Ingen Schenau;
Laurens de Winter;
Martijn Wehrens;
Steve Hansen;
Christian Wagner
Show Abstract
The introduction of immersion step and scan systems has opened the road for hyper-NA lenses (NA > 1). At these NA's polarization control becomes a key parameter in imaging. Application of polarized illumination leads to an increase of contrast and exposure latitude. The resulting resolution enhancement offered by polarized illumination enables 45nm node lithography with an ArF, NA=1.2 system. Hyper-NA systems utilizing polarized illumination must be fully compatible with all requirements for a volume production tool: maintaining imaging performance at full throughput, overlay and focus control; flexibility and ease-of-use are essential features. Adequate polarization control is realized by employing polarization-preserving optics, and by automated in-line metrology to optimize the system for any selected polarization state. In this paper we address the improvements of polarization for the 65nm and 45nm imaging node applications. Experimental results describing the imaging effects while using polarized illumination on high-NA (NA=0.93) and hyper-NA (NA=1.2) exposure tools will be shown. These data will also be compared to simulations. In addition, this paper includes a short section that deals with the issues of reticle birefringence. Finally, system control and in-line metrology under high-volume production conditions will be discussed.
Effect of azimuthally polarized illumination imaging on device patterns beyond 45nm node
Author(s):
Ken Ozawa;
Boontarika Thunnakart;
Tokihisa Kaneguchi;
Isao Mita;
Atsushi Someya;
Toshiharu Nakashima;
Hisashi Nishinaga;
Yasushi Mizuno;
Tomoyuki Matsuyama;
Masato Hamatani
Show Abstract
For an ultra-high numerical aperture (NA), such as that exceeding 0.9, the p-polarized component of light that has passed through a region at the limit of the NA of a high-NA lithography tool, degrades contrast because of the so-called vector imaging effect, and is therefore detrimental to the formation of optical images. Polarized illumination removes the effect of the p-polarized light component and provides illumination light composed of s-polarized light. The higher the NA, the greater are the benefits of polarized illumination. Therefore, in lithography at the 45-nm node and below, polarized illumination is viewed as an indispensable technology. We explore the applicability of polarized illumination to device manufacturing processes at the 45-nm node and beyond, with a focus on the utilization of azimuthally polarized illumination, which enables one mask exposure. The data used in this research were obtained through imaging simulations and experiments using a dry lithography tool equipped with a 0.92-NA projection lens. In imaging simulations using a lithography simulator, the application of azimuthally polarized illumination improved image contrast in resists by approximately 20% for half pitch (HP) 65-nm dense patterns. As a result, device patterns showed enhanced robustness with respect to exposure dose error; extended process windows; and reduced mask error enhancement factor (MEEF), line edge roughness (LER), and line end shortening (LES). This paper examines the results of experiments conducted using imaging simulations and lithography tools on other product device like patterns (besides special patterns in which benefits can clearly be expected, including dense (L/S) patterns), and reports the results.
Experimental verification of PSM polarimetry: monitoring polarization at 193-nm high-NA with phase-shift masks
Author(s):
Gregory McIntyre;
Andrew Neureuther;
Steve Slonaker;
Venu Vellanki;
Patrick Reynolds
Show Abstract
The initial experimental verification of a polarization monitoring technique is presented. A series of phase shifting mask patterns produce polarization dependent signals in photoresist and are capable of monitoring the Stokes parameters of any arbitrary illumination scheme. Experiments on two test reticles have been conducted. The first reticle consisted of a series of radial phase gratings (RPG) and employed special apertures to select particular illumination angles. Measurement sensitivities of about 0.3 percent of the clear field per percent change in polarization state were observed. The second test reticle employed the more sensitive proximity effect polarization analyzers (PEPA), a more robust experimental setup, and a backside pinhole layer for illumination angle selection and to enable characterization of the full illuminator. Despite an initial complication with the backside pinhole alignment, the results correlate with theory. Theory suggests that, once the pinhole alignment is corrected in the near future, the second reticle should achieve a measurement sensitivity of about 1 percent of the clear field per percent change in polarization state. This corresponds to a measurement of the Stokes parameters after test mask calibration, to within about 0.02 to 0.03. Various potential improvements to the design, fabrication of the mask, and experimental setup are discussed. Additionally, to decrease measurement time, a design modification and double exposure technique is proposed to enable electrical detection of the measurement signal.
Polarization aberration analysis in optical lithography systems
Author(s):
Jongwook Kye;
Gregory McIntyre;
Yamamoto Norihiro;
Harry J. Levinson
Show Abstract
The use of immersion technology extends the lifetime of optical lithography by enabling ultra-high NA much greater than 1.0. Ultra-high NA application for low k1 imaging strongly demands an adoption of polarization illumination as a resolution enhancement technology. It is typically assumed that the transmitted wavefront has uniform amplitude and a constant polarization state across the pupil. This assumption is not valid any more for the level required for low k1 imaging. This paper considers methods of polarization analysis including polarization aberration theory. Definitions of basic polarization phenomena and review of matrix representation are included in this discussion. Finally we propose Pauli spin matrix representation as preferred method to describe polarization aberration.
High NA polarized light lithography for 0.29-k1 process
Author(s):
Chanha Park;
Jeonkyu Lee;
Kiho Yang;
Shih-en Tseng;
Young-Hong Min;
Alek C. Chen;
Hyunjo Yang;
Donggyu Yim;
Jinwoong Kim
Show Abstract
Polarization is becoming very important technology in micro-lithography at the higher NA lithography for much smaller design. The wide and intensive studies to apply the polarization technology into lithography application have been achieved. Source polarization, mask polarization and projection lens polarization could make different printing results compared to non-polarization cases. Especially k1 factor below 0.3 needs aggressive resolution enhancement techniques. Environmental parameters such as mask CD, lens aberration, stray light, image plane deviation and resist characteristic make CD controllability worse in the very low k1 regime. The polarization technology can contribute to getting better imaging performance. This experiment is challenging k1 factor down to 0.29 with the source polarization function. The source polarization effect on real device will be shown through the simulation and actual printing process using 6% attenuated PSM. The related OPC strategy with the polarized source will also be discussed.
Validity of the Hopkins approximation in simulations of hyper-NA (NA>1) line-space structures for an attenuated PSM mask
Author(s):
Andreas Erdmann;
Giuseppe Citarella;
Peter Evanschitzky;
Hans Schermer;
Vicky Philipsen;
Peter De Bisschop
Show Abstract
In our previous work we have shown that as the NA of a lithographic projection system increases some of the simulation assumptions that are traditionally made - such as the so-called Hopkins assumption, i.e. the assumption that diffraction at the mask is independent of the angle of incidence of the illuminating light waves - break down, at least in some cases. Reliable simulation results will then only be obtained if this Hopkins assumption is eliminated, i.e. when the diffraction effect is reevaluated for each incident direction. The differences in the results between two such simulations, one using the Hopkins approach, the other with this assumption removed, have been demonstrated to be very significant in some case, but today there is no clear understanding when the removal of the Hopkins assumption is essential: a systematic study is not available. As simulations without the Hopkins approximation are significantly more time consuming than simulations done under the Hopkins assumption, a better understanding of which model can or must be used under which circumstances, would be of significant practical importance.
The aim of this paper is to provide such a more systematic study for the case of 6% attenuated PSM with line/space structures targeting at a 45 nm resist linewidth for a variety of pitches, for a NA = 1.2 water immersion system. Standard lithographic metrics such as process windows will be used to compare the two simulation approaches. All this work will be done taking the mask topography and optical material parameters into account. As the polarization state of the mask-illumination will also greatly affect the imaging quality at hyper-NA, we will compare the results for different polarization states and illumination modes.
Global optimization of the illumination distribution to maximize integrated process window
Author(s):
Alan E. Rosenbluth;
Nakgeuon Seong
Show Abstract
This paper extends our previous work on globally optimizing source shapes for lithography. A key extension is our global optimization against metrics that involve process window through focus. For example, the user can determine the particular source shape which maximizes the area of the ED window (common exposure-defocus window) across all patterns. In nominal terms, integrated process window is a highly nonlinear objective function; for example, ED window is defined in terms of fractional (i.e. percentage or relative) exposure latitude, and dose is proportional to the reciprocal of intensity, which means that when ED window is calculated the source variables appear in both numerator and denominator of a ratio of reciprocals. In addition, exposure and focus latitudes are defined in terms of the common window as bounded by all features, and the determination of which features are gating is a conditional and non-differentiable function of the source variables. Also, the focus integration should only extend to the plane where ED window first closes down to zero; this limit also depends on the variables in a nonlinear way. However, despite these complexities, it proves possible under quite benign approximations to reformulate ED window maximization as a near-linear-programming problem that can be solved globally, in polynomial time. The algorithm can be extended in several ways, e.g. to account for effects like mask linewidth errors (MEF). In some cases MEF-optimized sources can substantially reduce the sensitivity to mask error, and may differ appreciably from sources optimized for individual perturbed masks. Resist effects can be approximated by influence/diffusion kernels operating on the exposing image within the film. The area of an inscribed rectangular process band can be optimized in place of the full ED window. Source pixelation can be structured to account for finite illuminator resolution and constraints on minimum pole size. Multiple exposures can also be handled, and polarization can be selected optimally on a pixel-by-pixel basis.
Dense OPC and verification for 45nm
Author(s):
Nicolas Cobb;
Dragos Dudau
Show Abstract
In this paper, we expand on previous work in which we investigated algorithms and cost functions for dense OPC. We explore using multiple contours at different process conditions in order to generate "process window OPC". We analyze and discuss the computational cost of the dense OPC approach in comparison to sparse OPC. We also discuss the flexibility to use any possible resist model inside a dense OPC system. We show how the dense OPC system can be used together with dense, contour-based verification for the 45nm node. The dense verification and OPC tools provide a framework for lithography friendly design and DFM for the 45nm manufacturing node.
OPC and verification accuracy enhancement using the 2D wafer image for the low-k1 memory devices
Author(s):
Yong-Chan Ban;
Dong-Yoon Lee;
Ji-Suk Hong;
Moon-Hyun Yoo;
Jeong-Taek Kong
Show Abstract
The most important task in the OPC (optical proximity correction) process is to make a model database which can simulate optical behavior, while the characterization of resist development is still performed empirically. The previous approaches to lithography model generation heavily rely on 1 dimensional CD (critical dimension) measurements containing hundreds of features representing different sizes, shapes and pitches. Despite the huge amount of experiment data, there still can be a significant model error due to mismatching between measurement points and simulation points in 2 dimensional structures such as line ends, contact, and corners. Since the large number of data is required, it is quite natural that there require a huge computational effort to get the model. Our approach in this paper is based on the fitting model with 2D images, i.e., SEM image or a rigorous simulation image. It would not be an overstatement to say that a 2D wafer image is worth thousands of CD measurements. This approach is able to cover the symmetric as well as the non-symmetric patterns and prevents the threshold level from an inappropriate swing at the CTR (constant threshold resist) model. This paper aims to show how to extract the information of the wafer image, how to optimize the OPC modeling with quickness, and how to increase the modeling accuracy for the entire pattern. In addition, this paper shows the excellent agreement between the simulation image and the wafer image for the critical layout of the sub 70 nm technology node memory devices.
(Lens) design for (chip) manufacture: lens tolerancing based on linewidth calculations in hyper-NA, immersion lithography systems
Author(s):
R. L. Gordon;
M. P. Rimmer
Show Abstract
Tolerancing of lithographic imaging systems is a crucial step in the design of these lens systems. Usually a metric such as maximum RMS wavefront error is specified, and lens surface error budgets (curvature, tilt, decenter,...) are derived to ensure the specification is satisfied. Simple compensation schemes are then used to optimize "yield", that is, to maximize the chances of achieving the designed value of the RMS wavefront error. Software that performs these computations has been available to the lens design community for many years. The concept of tolerancing can be applied to other merit functions as well, but with the advent of hyper-NA, immersion systems for sub-90 nm lithography, questions arise about the efficacy of using RMS wavefront error as an accurate predictor of performance change. For example, nontrivial Jones matrices across the exit pupil give rise not only to scalar phase and transmission variations across the pupil, but also differential changes to transmission ("diattenuation") and phase ("retardance") that are not taken into account in standard wavefront error calculations, and depend upon the incident polarization. However, a merit function based on such quantities is not very useful to the lithographer on the fab floor. For the lithographer, it is desirable to build the system based on more direct metrics, such as across-chip-linewidth-variation (ACLV) or H-V bias, provided the metrics are based on fundamental properties of the imaging system, and are not excessively dependent on process specific information. We have developed software to determine manufacturing and alignment tolerances of a lens using a set of merit functions that are based on linewidth predictions. These include across-chip linewidth variation (ACLV), H-V bias, LR bias, overlay error and telecentricity error. The calculation of these quantities includes a simple resist development model. In this paper, we will show results of a tolerancing study on a hyper-NA immersion lens that uses this software.
An integrated lithography concept with application on 45-nm ½ pitch flash memory devices
Author(s):
Mircea Dusa;
Andre Engelen;
Jo Finders
Show Abstract
It is well accepted to judge imaging capability of an exposure tool primarily on printing equal line-spaces, at a minimum ½ pitch. Further on, combining line-space minimum ½ pitches with scanner maximum NA, defines the process k1. From a lithographer viewpoint, flash memory device is the perfect candidate to achieve lowest k1 lithography for a given NA. This is justified by flash layout specific, with regular and relative simple 1-D topology of the critical layers that look like line-space gratings. In reality, flash layout presents a subtle topology and cannot be considered a simple 1-D line-space problem. Uniqueness to flash layout is the array-end zones, where pattern regularity is broken up by features with dimensions and separation of n x ½ pitch, where n is an integer number that we used in this work to manipulate litho process latitudes. Integrated lithography concept seeks to tweak flash pattern details and tune it with scanner control parameters. We introduce feature-center placement through focus and dose as the metric to characterize a cross-coupling phenomena occurring between adjacent features located at array-end of typical flash poly wordline layer. We comparedthe metric behavior with usual litho process window parameters and identified interactions with scanner CDU control parameters. We show how feature-center placement errors are direct functions of optical and physical characteristics of mask materials, attenuated PSM or binary, and of layout array-end topology. Imaging at extreme low-k1, effects from layout specifics and mask materials are best characterized by full vector, rigorous EM simulation, instead of scalar approach, typically used for OPC treatment. Predicted CDU performance of 1.2NA scanner, based on integrated lithography concept, matched very well the experimental results in printing 45nm ½ pitch flash wordline layer. Results show that 1.2NA scanner, operating at 0.28 k1 could be an effective lithography solution for 45nm flash designs.
Alt- phase-shift mask technology for 65nm logic applications
Author(s):
Kishore K. Chakravorty;
Sven Henrichs;
Wei Qiu;
Joas L. Chavez;
Yi-Ping Liu;
Firoz Ghadiali;
Karmen Yung;
Nathan Wilcox;
Mary Silva;
Jian Ma;
Ping Qu;
Brian Irvine;
Henry Yun;
Wen-Hao Cheng;
Jeff Farnsworth
Show Abstract
Alternating Phase Shift Mask (APSM) Technology has been developed and successfully implemented for the poly gate of 65nm node Logic application at Intel. This paper discusses the optimization of the mask design rules and fabrication process in order to enable high volume manufacturability. Intel's APSM technology is based on a dual sided trenched architecture. To meet the stringent OPC requirements associated with patterning of narrow gates required for the 65nm node, Chrome width between the Zero and Pi aperture need to be minimized. Additionally, APSM lithography has an inherently low MEEF that furthermore, drives a narrower Chrome line as compared to the Binary approach. The double sided trenched structure with narrow Chrome lines are mechanically vulnerable and prone to damage when exposed to conventional mask processing steps. Therefore, new processing approaches were developed to minimize the damage to the patterned mask features. For example, cleaning processes were optimized to minimize Chrome & quartz damage while retaining the cleaning effectiveness. In addition, mask design rules were developed which ensured manufacturability. The narrow Chrome regions between the zero and Pi apertures severely restrict the tolerance for the placement of the second level resists edges with respect to the first level. UV Laser Writer based resist patterning capability, capable of providing the required Overlay tolerance, was developed, An AIMS based methodology was used to optimize the undercut and minimize the aerial image CD difference between the Zero and Pi apertures.
Across wafer focus mapping and its applications in advanced technology nodes
Author(s):
Gary Zhang;
Stephen DeMoor;
Scott Jessen;
Qizhi He;
Winston Yan;
Sopa Chevacharoenkul;
Venugopal Vellanki;
Patrick Reynolds;
Joe Ganeshan;
Jan Hauschild;
Marco Pieters
Show Abstract
The understanding of focus variation across a wafer is crucial to CD control (both ACLV and AWLV) and pattern fidelity on the wafer and chip levels. This is particularly true for the 65nm node and beyond, where focus margin is shrinking with the design rules, and is turning out to be one of the key process variables that directly impact the device yield. A technique based on the Phase-Shift Focus Monitor (PSFM) is developed to measure realistic across-wafer focus errors on materials processed in actual production flows. With this technique, we are able to extract detailed across-wafer focus performance at critical pattern levels from the front end of line (FEOL) all the way through the back end of line (BEOL). Typically, more than 8,000 data points are measured across a wafer, and the data are decomposed into an intra-field focus map, which captures the across chip focus variation (ACFV), and an inter-field focus map, which describes the across wafer focus variation (AWFV). ACFV and AWFV are then analyzed to understand various components in the overall focus error, including; across slit lens image field, reticle shape and dynamic scan components, local wafer flatness, wafer processing effect, pattern density, and edge die abnormality. The intra-field ACFV lens component is compared with TI's ScatterLith and ASML's FOCAL techniques. Results are consistent with the predictions based on the on-board lens aberration data. Inter-field AWFV is the most interesting, due to lack of detailed understanding of the process impact on scanner focus and leveling. PSFM data is used to characterize the effect of wafer processing such as etch, deposition, and CMP on across wafer focus control. Comparison and correlation of PSFM focus mapping with the wafer height and residual moving average (MA) maps generated by the scanner's optical leveling sensors shows a good match in general. Process induced focus errors are clearly observed on wafers of significant film stack variation and/or pattern density variation. Implications on total focus control and depth of focus (DOF) requirements for 65nm mass production are discussed in this paper using a quantitative pattern yield model. The same technique can be extended to immersion lithography.
Characterizing a scanner illuminator for prediction of OPE effects
Author(s):
Stephen P. Renwick;
Hisashi Nishinaga;
Naonori Kita
Show Abstract
It is well understood from previous work performed by Nikon and several others that the optical proximity effect (OPE) behavior of a litho tool will depend on the details in the partial coherence pattern projected by the tool's illuminator. While there are several other areas of influence, including the lens numerical aperture (NA), laser bandwidth, and simple parameters like focus and dose, the contribution of pupil fill cannot be ignored. This becomes especially significant when different tools, sometimes from varied manufacturers, are used to execute the same critical process. While measurements of pupil fill are readily available, the decision of what to do with the data can be frustrating. Lithographers cannot be expected to re-run their modeling for every small change in the pupil fill from one tool to another, or for changes due to small illuminator adjustments. A streamlined characterization technique is needed. This need becomes especially acute when we consider the exotic pupil fills to be used in pushing the performance envelope of immersion tools. At Nikon, we have already assessed the importance of the pupil fill. Therefore, we turn our attention to techniques that can be used to fully characterize it. We have developed several different characterization methods, including not only the derivation of "effective" sigma terms, but also a more direct analysis using a modulation transfer function. These diverse methods, and their correlation with vital litho parameters like iso-nested bias and HV bias, will be presented.
MEEF-based correction to achieve OPC convergence of low-k1 lithography with strong OAI
Author(s):
Soo-Han Choi;
A-Young Je;
Ji-Suk Hong;
Moon-Hyun Yoo;
Jeong-Taek Kong
Show Abstract
The quality of model-based OPC (MBOPC) depends on both modeling and correction accuracy. As the k1 process factor decreases and design complexity increases, the correction accuracy becomes more important. Especially, in case of high NA immersion lithography with strong off-axis illumination (OAI) such as dipole and cross-pole illumination, mask error enhancement factor (MEEF) and normalized intensity log-slope (NILS) vary seriously according to the pattern directions and shapes, so that the normal correction method, which uses the constant damping value, causes the divergence of correction and can hardly define optimum bias. Therefore, we developed design rule (D/R) constraints and new correction method to prevent the divergence and to reduce the OPC run time for sub-60nm device.
In this paper, D/R constraints derived from MEEF are introduced to reduce MEEF across the full chip. In addition, we propose new methods to achieve the global OPC convergence of low-k1 lithography by MEEF-based correction combined with proportion-integral-derivative (PID) controller. The PID controller can prevent the divergence because it considers the derivative term between EPEs (edge placement error) of previous and current iteration. Since MEEF-based correction uses the variable damping value derived from MEEF of each pattern fragment, it is effective for the convergence of the memory bit-line layer composed of the complicated 2D patterns. MEEF-based correction combined with PID controller merges the merits of each method and is found to be a stable correction method for k1 factor smaller than 0.27. Applying the proposed method, we could remove the process weak points having more than 20% CD variation caused by the divergence and achieve sufficient process margin for sub-60nm memory device. OPC run time is also reduced by 40% compared with the normal correction method.
Basic studies of overlay performance on immersion lithography tool
Author(s):
Ken-ichi Shiraishi;
Tomoharu Fujiwara;
Hirokazu Tanizaki;
Yuuki Ishii;
Takuya Kono;
Shinichiro Nakagawa;
Tatsuhiko Higashiki
Show Abstract
Immersion lithography with ArF light and Ultra Pure Water (UPW) is the most promising technology for semiconductor manufacturing with 65 nm hp design and below. Since Nikon completed the first full-field immersion scanner, the Engineering Evaluation Tool (EET, NA=0.85) at the end of 2004, Toshiba and Nikon have investigated overlay accuracy with the EET which uses the local fill nozzle. EET successfully demonstrated immersion tools are comparable in single machine overlay accuracy to dry tools, and immersion-dry matching has the same level overlay matching accuracy as dry-dry matching. EET also made it clear that overlay accuracy is independent of scanning speed, and both solvent-soluble topcoats, as well as developer-soluble topcoats can be used without degradation of overlay accuracy. We investigated the impact of the thermal environment on overlay accuracy also, assuming that a key technology of overlay with immersion tools must achieve thermal stabilities similar to dry tools. It was found that the temperature of supply water and loading wafer are stable enough to keep the overlay accuracy good. As for evaporation heat, water droplets on the backside of the wafer lead to overlay degradation. We have decided to equip the wafer holder of S609B, the first immersion production model, with an advanced watertight structure.
Experimental characterization of the receding meniscus under conditions associated with immersion lithography
Author(s):
Timothy A. Shedd;
Scott D. Schuetter;
Gregory F. Nellis;
Chris K. Van Peski
Show Abstract
Immersion lithography allows the semiconductor industry to create next-generation devices without requiring a large shift in infrastructure, making it an appealing extension to optical lithography. Improved resolution is enabled by placing an immersion fluid with a high refractive index between the final lens of the optical system and the resist-coated wafer. Several engineering challenges accompany the insertion of the immersion fluid in a production tool, one of the most important being the confinement of a relatively small amount of liquid to the under-lens region. The semiconductor industry demands high throughput, leading to relatively large wafer scan velocities and accelerations. These result in large viscous and inertial forces on the three-phase contact line between the liquid, air, and substrate. If the fluid dynamic forces exceed the resisting surface tension force then residual liquid is deposited onto the substrate. Liquid deposition is undesirable; as the droplets evaporate, they will deposit impurities on the substrate. In an immersion lithography tool, these impurities may result in defects. An experimental investigation was undertaken to study the static and dynamic contact angle under conditions that are consistent with immersion lithography. A semi-empirical model is described here to predict the velocity at which liquid loss occurs. This model is based on fluid physics and correlated to measurements of the dynamic and static contact angles. The model describes two regimes, an inertial and a capillary regime, characterized by two distinct liquid loss processes. The semi-empirical model provides the semiconductor industry with a useful predictive tool for reducing defects associated with film pulling.
A dive into clear water: immersion defect capabilities
Author(s):
B. Streefkerk;
J. Mulkens;
R. Moerman;
M. Stavenga;
J. van der Hoeven;
C. Grouwstra;
R. Bruls;
M. Leenders;
S. Wang;
Y. van Dommelen;
M. Boerema;
H. Jansen;
K. Cummings;
M. Riepen;
H. Boom;
M. Suddendorf;
P. Huisman
Show Abstract
This paper discusses the types and formation of immersion defects. It is shown that drying stains and water marks are the main immersion defects. The immersion defects are related to resist leaching, water penetration and droplet formation. It is shown that scanner immersion hood design based on an actuated air gap and air curtain droplet clean-up minimizes defect counts. Additionally, pre-and post soaks steps in the track can reduce drying stains and water marks. The defect performance is evaluated on XT:1250i and XT:1400i systems. It is shown that the immersion defect density can go as low as 0.01 /cm2, which is well below the ITRS 2005 number of 0.03 /cm2.
Investigation of immersion related defects using pre- and post-wet experiments
Author(s):
Stefan Brandl;
Robert Watso;
Bill Pierson;
Steve Holmes;
Yayi Wei;
Karen Petrillo;
Kevin Cummings;
Frank Goodwin
Show Abstract
To evaluate the effect of water exposure to a resist stack a set of experiments was designed that introduce a pre- and post-exposure wetting time to a coated wafer. The ASML 1150i α-immersion scanner, integrated with a TEL-Lithius coater track, was used to investigate the formation of defects related to the extended wetting. In the first approach, wetting was achieved using a dynamic DI-water rinse in the developer module of the track. For the second approach the immersion hood was positioned over the wafer at a fixed position and time, subjecting the wafer area below the immersion hood to the flowing water. We investigated various resists and topcoats. Defect inspections were performed on these film stacks after imaging.
Immersion effects on lithography system performance
Author(s):
Seiji Nagahara;
Ivan Pollentier;
Takahiro Machida;
Sean O'Brien;
Eric Jacobs;
Charles Schaap;
Philippe Leray;
Greet Storms;
Kathleen Nafus;
David Laidler;
Shaunee Cheng
Show Abstract
The immersion effects on lithography-system performance have been investigated using a ASML TWINSCAN XT:1250Di immersion-ArF scanner (NA=0.85) and Tokyo Electron CLEAN TRACK ACT12 at IMEC. Effects of immersion-induced-temperature change and effects of material-top surface are discussed in this paper. The wafer-stage temperature is measured during the leveling-verification tests and compared with the observed residual-focus-error change. The results indicate that stage-temperature change under an immersion environment can induce a focus change. In this paper, it was proved that the improved-temperature-control stage is effective to mitigate the immersion-specific focus change. The immersion effect on overlay is also investigated as a function of material top surface. It was demonstrated that the effect of material-receding-contact angles on the grid-residual errors (non-correctable errors) is small in the latest-immersion-hardware configuration of the scanner. However, there was a tendency that material with a smaller-receding-contact angle has a larger-wafer scaling although it is a correctable parameter. This can be caused by the first-layer wafer shrinkage due to more water evaporation on the more-hydrophilic surface. The immersion effect on scanner-dynamic performance is then investigated by changing the material-top surface and the scan speed of the scanner. It was turned out that the scan synchronization is not much affected by differences of material receding-contact-angles for the new configuration of the scanner. Moving-standard deviation of the synchronization error in scanning direction (y-direction) is slightly more affected by increased scanning speed, although it stays within specification even at a maximum scan speed of 500 mm/sec. Finally the immersion effects on resist-profile uniformity are examined. It was found that lower-leaching-film stacks (with a top coat or a lower leaching resist) seem to mitigate the variation of resist-profile uniformity.
Laser bandwidth and other sources of focus blur in lithography
Author(s):
T. Brunner;
D. Corliss;
S. Butt;
T. Wiltshire;
C. P. Ausschnitt;
M. Smith
Show Abstract
It is well known that the refractive optics used in today's exposure tools are highly chromatic, meaning that small wavelength shifts will cause large focus shifts. Even a line-narrowed excimer laser has a large enough range of wavelengths that we can no longer think of an infinitely thin image plane. The concept of "focus blur" can be generalized to encompass the effect of laser bandwidth chromatic aberrations, vertical stage vibrations (MSDz) and stage tilts which cause focus to change during the scan. This paper will introduce a new parameter called Mean Absolute Defocus (MAD) that can characterize the focus blur, and will be shown to correlate with the lithographic effects. Focus blur can be incorporated into simulation models, in a manner similar to the way that stage vibration is modeled. New simulation results will illustrate the impact of focus blur on modern lithographic processes. Process stability and machine-to-machine matching issues will be discussed.
Aerial image based lens metrology for wafer steppers
Author(s):
Peter Dirksen;
Joseph J. M. Braat;
Augustus J. E. M. Janssen;
Ad Leeuwestein;
Tomoyuki Matsuyama;
Tomoya Noda
Show Abstract
Phase Measurement Interferometers (PMI) are widely used during the manufacturing process of high quality lenses. Although they have an excellent reproducibility and sensitivity, the set-up is expensive and the accuracy of the measurement needs to be checked frequently. This paper discusses an alternative lens metrology method that is based on an aerial image measurement. We discuss the Extended Nijboer-Zernike (ENZ) method and its application to aberration measurement of a high-NA optical system of a wafer stepper. ENZ is based on the observation of the through-focus intensity point-spread function of the projection lens. The advantage of ENZ is a simple set-up that is easy to run and maintain and provides good accuracy. Therefore the method is useful during lens assembly in the factory. The mathematical framework of ENZ is shown and the experimental procedure to extract aberrations for a high-NA lens is demonstrated on a high-NA DUV lithographic lens. PMI data is given as reference data. It is shown that ENZ provides an attractive alternative to the interferometer.
Practical approach to full-field wavefront aberration measurement using phase wheel targets
Author(s):
Lena V. Zavyalova;
Bruce W. Smith;
Anatoly Bourov;
Gary Zhang;
Venugopal Vellanki;
Patrick Reynolds;
Donis G. Flagello
Show Abstract
An automated aberration extraction method is presented which allows extraction of lithographic projection lens' aberration signature having only access to object (mask) and image (wafer) planes. Using phase-wheel targets on a two-level 0/π phase shift mask, images with high sensitivity to aberrations are produced. Zernike aberration coefficients up to 9th order have been extracted by inspection of photoresist images captured via top-down SEM. The automated measurement procedure solves a multi-dimensional optimization problem using numerical methods and demonstrates improved accuracy and minimal cross-correlation. Starting with a detailed procedure analysis, recent experimental results for 193-nm projection optics in commercial full field exposure tools are discussed with an emphasis on the performance of the aberration measurement approach.
Effects of laser bandwidth on OPE in a modern lithography tool
Author(s):
Kevin Huggins;
Toki Tsuyoshi;
Meng Ong;
Robert Rafac;
Christopher Treadway;
Devashish Choudhary;
Takehito Kudo;
Shigeru Hirukawa;
Stephen P. Renwick;
Nigel R. Farrar
Show Abstract
The OPE signature of a lithographic stepper or scanner has become a very important characteristic of the tool, as it determines the OPC correction to be applied to reticles exposed on that tool. The signature depends on a variety of detailed information about the scanner lens and illuminator, which in turn depend on the characteristics of the illumination light from the laser. Specifically, changes in the laser bandwidth should impact OPE as the lens exhibits some chromatic aberration. Tool-to-tool differences and time fluctuation of the laser bandwidth could cause variations in OPE tool matching and stability. To assess this, a detailed study of laser bandwidth effects on OPE was performed. A sensitive spectrometer was connected to a litho laser, allowing careful measurements of both the FWHM and E95 parameters of the laser spectral profile. Lithographic modeling using the chromatic response of the lens was run in order to predict effects. Exposures of CD through pitch were made to test the modeling. Finally, the bandwidth data was correlated with litho sensitivity to create a "bandwidth effect", put in context with the other common scanner parameters affecting OPE.
Positive and negative tone double patterning lithography for 50-nm flash memory
Author(s):
Chang-Moon Lim;
Seo-Min Kim;
Young-Sun Hwang;
Jae-Seung Choi;
Keun-Do Ban;
Sung-Yoon Cho;
Jin-Ki Jung;
Eung-Kil Kang;
Hee-Youl Lim;
Hyeong-Soo Kim;
Seung-Chan Moon
Show Abstract
Double patterning lithography is very fascinating way of lithography which is capable of pushing down the k1 limit below 0.25. By using double patterning lithography, we can delineate the pattern beyond resolution capability. Target pattern is decomposed into patterns within resolution capability and decomposed patterns are combined together through twice lithography and twice etch processes. Two ways, negative and positive, of doing double patterning process are contrived and studied experimentally. In this paper, various issues in double patterning lithography such as pattern decomposition, resist process on patterned topography, process window of 1/4 pitch patterning, and overlay dependent CD variation are studied on positive and negative tone double patterning respectively. Among various issues about double patterning, only the overlay controllability and productivity seemed to be dominated as visible obstacles so far.
High transmission mask technology for 45nm node imaging
Author(s):
Will Conley;
Nicoló Morgana;
Bryan S. Kasprowicz;
Mike Cangemi;
Matt Lassiter;
Lloyd C. Litt;
Marc Cangemi;
Rand Cottle;
Wei Wu;
Jonathan Cobb;
Young-Mog Ham;
Kevin Lucas;
Bernie Roman;
Chris Progler
Show Abstract
Today novel RET solutions are gaining more and more attention from the lithography community that is facing new challenges in attempting to meet the new requirement of the SIA roadmap. Immersion, high NA, polarization, and mask topography, are becoming common place terminology as lithographers continue to explore these areas. Here with, we compare a traditional 6% MoSi based EAPSM reticle and a high transmission solution made of a SiON/Cr film stack. Insights into the manufacturability of high transmission material are provided. Test patterns have been analyzed to determine the overall impact of imaging performance when used with immersion scanners and polarized light. Some wafer results provide reliability of simulations, which are used to make further investigation on polarization and immersion effects.
Experimental evaluation of Bulls-Eye illumination for assist-free random contact printing at sub-65nm node
Author(s):
Jo Finders;
Andre Engelen;
Geert Vandenberghe;
Joost Bekaert;
Tim Chen
Show Abstract
Patterning of random CH for the 65nm node and below has proven to be a very difficult task. As a rule of thumb, difficulties in contact patterning are driven by the low depth of focus towards isolated contacts and/or the lower contrast combined with higher mask error factor (MEEF) for denser contact arrays. In this work, we experimentally investigate the use of illumination modes consisting of the combination of annular plus conventional illumination, so-called "Bulls-Eye" illumination. This study is a search for the optimal sigma settings for the annular and conventional parts, with respect to process window and MEEF through pitch. Also, the extend to which the Bulls-Eye is advantageous is demonstrated by means of experimental comparison to wafer prints by conventional illumination. Besides regular grid CH arrays, the Bulls-Eye performance is evaluated for different 2D contact patterns. Experimental results are obtained on ASML ArF scanners at various NAs up to 0.93. Additionally, immersion lithography and Focus Drilling are considered at given exposure setting as techniques to increase the focal depth. The experiments show promising results, printing contacts from k1 = 0.40 onwards with acceptable process, MEEF, and proximity through pitch, and this without side-lobe printing.
Optical properties and process impacts of high transmission EAPSM
Author(s):
Young Mog Ham;
Nicolo Morgana;
Pierre Sixt;
Mike Cangemi;
Bryan Kasprowicz;
Chris Progler;
Pat Martin
Show Abstract
We explore technical and practical issues to apply EAPSM technology with high transmission into ArF lithography. This technique needs to be reviewed in the standpoint of process and device fabrication using short wavelength, high NA, OAI and OPC technology. In this paper, we analyze optical characteristics of multi-stacked film that composed of phase material like MoSi, Cr-SiON, Cr-SiO2, and Ta-SiO2. Three-dimensional analyses of film structure are to consider intensity variations and optical influence by n &k value, thickness and polarization light. The comparison will be focused on optimization or determination of each high T materials. Moreover, we specify CD impacts of mask CD error, variations of phase and transmission for various pattern size, and 3D structure. Polarization effect in this structure and high NA condition will be also interesting part to be studied impacts on process.
In the device application of technology, we consider overall process margin to satisfy cell & periphery design rule and OPC treatment to improve process windows. Optimum SRAF design and tri-tone mask technology will be key issue to improve DOF margin of specific design rule in OPC treatment. For 65nm technology or less, intensity formation distributed on mask affects CD and process margin directly on wafer patterning process. High transmission EAPSM will have specifically differences with 6% EAPSM in OPC treatment and it will be required new OPC rule in ArF lithography. Using simulation and experiment, we find high transmission EAPSM has advantages in device manufacturing and approach technical issue to be solved in material, process and device application. This technique shows to improve exposure latitude & DOF margin, and to reduce MEEF in process. Finally it will be good candidate to satisfy lithography requirement of 65nm and 45nm node.
Inverse lithography technology at chip scale
Author(s):
Benjamin Lin;
Ming Feng Shieh;
Jie-wei Sun;
Jonathan Ho;
Yan Wang;
Xin Wu;
Wolfgang Leitermann;
Orson Lin;
Jason Lin;
Yong Liu;
Linyong Pang
Show Abstract
In this paper we describe, from the user's point of view, how Inverse Lithography Technology (ILT) differs from Optical Proximity Correction (OPC). We discuss some specifics of ILT at chip-scale. We show simulation and experimental results from 90nm and 65nm semiconductor nodes, comparing results from ILT-generated masks and OPC-generated masks for real-life layouts, in a production environment. In addition, we discuss issues related to complexity and manufacturability of ILT-generated masks.
Second generation fluids for 193nm immersion lithography
Author(s):
Roger H. French;
Weiming Qiu;
Min K. Yang;
Robert C. Wheland;
Michael F. Lemon;
Aaron L. Shoe;
Doug J. Adelman;
Michael K. Crawford;
Hoang V. Tran;
Jerald Feldman;
Steve J. McLain;
Sheng Peng
Show Abstract
Our studies of second generation immersion fluid candidates are moving beyond the discovery phase, and into addressing issues for their commercial application. Thus, we continue work to examine and fundamentally understand fluid transparency and refractive index, to fully optimize these properties. At the same time, we are now examining other process concerns, including index variation with temperature, new imaging performance studies, fluid handling considerations, and fluid property maintenance with active recycle during lithographic exposure. The systems and procedures we have developed in these areas continue to show our fluids' promise for sub-45nm immersion lithography applications.
Studies of consequences of photo-acid generator leaching in 193nm immersion lithography
Author(s):
V. Liberman;
M. Switkes;
M. Rothschild;
S. T. Palmacci;
A. Grenville
Show Abstract
Leaching of resist components into the water has been reported in several studies. Potential effects of photo-acid generator (PAG) dissolved in water include photocontamination of the last optical surface and the formation of particulate defects on the wafer surface. In order to determine the impact of these phenomena on lithographic performance, such as optics lifetime and yield, we have initiated a set of controlled studies, where predetermined amounts of PAG were introduced into pure water and the results monitored quantitatively. One set of studies identified the complex, nonlinear paths leading to photocontamination of the optics. At concentrations typical of leached PAG, below 500 ppb, the in-situ self-cleaning processes prevent contamination of the optics. On the other hand, initial experiments with a nano-dropper show that micron-scale particles from the dissolved PAG are formed on the wafer surface when water evaporates. This phenomenon requires further systematic studies both at the fundamental science and the engineering levels.
Watermark defect formation and removal for immersion lithography
Author(s):
Ching-Yu Chang;
Da-Ching Yu;
John C. H. Lin;
Burn J. Lin
Show Abstract
In immersion lithography, water drop residue has been identified as the source of watermark defects. Many methods have been studied to reduce water drops outside of the immersion area. However, from a physical point of view, the wafer surface is very hard to keep dry after immersion exposure. The water drop residues easily cause watermark defects that ranges from micrometer-size circular defects to sub-micron scum defects. In this paper we describe a few new methods to understand watermark formation. We also describe our studies on various water drop sizes and their impact on CD and defects. Our results show that major watermark defects occur as a result of the presence of relatively small water drops. A few methods have also been studied to reduce the impact of watermarks in order to remove watermark-induced pattern defects on wafers. These methods include post-immersion exposure treatment as well as novel material and process improvement methods. By applying these methods, we are able to remove watermark defects without an additional resist protection layer while still maintaining good resist lithographic performance.
High-index optical materials for 193nm immersion lithography
Author(s):
John H. Burnett;
Simon G. Kaplan;
Eric L. Shirley;
Deane Horowitz;
Wilfried Clauss;
Andrew Grenville;
Chris Van Peski
Show Abstract
We report on our comprehensive survey of high-index UV optical materials that may enable extension of immersion lithography beyond a numerical aperture of 1.45. Band edge, refractive index, and intrinsic birefringence (IBR) at 193 nm determine basic viability. Our measurements of these properties have reduced the list of potential candidates to: ceramic spinel, lutetium aluminum garnet, and a class of germanium garnets. We discuss our measurements of the intrinsic properties of these materials and assess the present status of their material quality relative to requirements. Ceramic spinel has no significant IBR, but transmission and scatter for the best samples remain at least two orders of magnitude from specifications. Improving these would require a major development effort. Presently available lutetium aluminum garnet has material quality much closer to the specifications. However, the IBR is about three times the required value. The germanium garnets offer the possibility of a lower IBR, but a suitable candidate material has yet to be established.
High index fluoride materials for 193 nm immersion lithography
Author(s):
T. Nawata;
Y. Inui;
I. Masada;
E. Nishijima;
H. Satoh;
T. Fukuda
Show Abstract
We tried to investigate various kinds of metal fluoride materials which have higher gravity than CaF2 and cubic crystal system, and we found out barium lithium fluoride (BaLiF3) and potassium yttrium fluoride (KY3F10) as candidates for the last lens material. We have developed unique Czochralski (CZ) machines and techniques for the growth of large calcium fluoride single crystals. And we applied these technologies to the growth of fluoride high index materials. We have succeeded to grow the large BaLiF3 single crystal with 120mm in diameter and a KY3F10 single crystal, and measured their basic properties such as refractive index, VUV transmittance, birefringence, and so on. As a result of our basic research, we found out that BaLiF3 single crystal is transparent at VUV region, and the refractive index at 193nm is 1.64, and KY3F10 single crystal has the index of 1.59 at the wavelength of 193nm which is slightly higher than fused silica. We expect that these fluoride high index materials are useful for the last lens material of the next generation immersion lithography.
Mask defect printing mechanisms for future lithography generations
Author(s):
Andreas Erdmann;
Thomas Graf;
Karsten Bubke;
Ingo Höllein;
Silvio Teuber
Show Abstract
Mask defects are of increasing concern for future lithography generations. The improved resolution capabilities of immersion and EUV systems increase also the sensitivity of these systems with respect to small imperfections of the mask. Advanced mask technologies such as alternating phase shift masks (AltPSM), chromeless phase shift lithography (CPL), or "thick" absorbers on EUV masks introduce new defect types. The paper presents an application of rigorous electromagnetic field modeling for the study of typical defect printing mechanisms in ArF immersion lithography and in EUV lithography. For standard imaging and mask technologies, such as binary masks or attenuated phase shift masks, small defects usually print as linewidth or critical dimension (CD) errors with the largest effect at best focus. For AltPSM, CPL masks, and EUV masks this is not always the case. Several unusual printing scenarios were observed: placement errors due to defects can become more critical than CD-errors, defects may print more critical at defocus positions different from the center of the process window, the defect printing may become asymmetric through focus, and the risk of defect printing depends on the polarization of the used light source. Several simulation examples will demonstrate these effects. Rigorous EMF simulations in combination with vector imaging simulations are very useful to understand the origins of the observed defect printing mechanisms.
High transmission mask technology for 45nm node imaging
Author(s):
Will Conley;
Nicoló Morgana;
Bryan S. Kasprowicz;
Mike Cangemi;
Matt Lassiter;
Lloyd C. Litt;
Marc Cangemi;
Rand Cottle;
Wei Wu;
Jonathan Cobb;
Young-Mog Ham;
Kevin Lucas;
Bernie Roman;
Chris Progler
Show Abstract
Today novel RET solutions are gaining more and more attention from the lithography community that is facing new challenges in attempting to meet the new requirement of the SIA roadmap. Immersion, high NA, polarization, and mask topography, are becoming common place terminology as lithographers continue to explore these areas. Here with, we compare a traditional 6% MoSi based EAPSM reticle and a high transmission solution made of a SiON/Cr film stack. Insights into the manufacturability of high transmission material are provided. Test patterns have been analyzed to determine the overall impact of imaging performance when used with immersion scanners and polarized light. Some wafer results provide reliability of simulations, which are used to make further investigation on polarization and immersion effects.
Optimizing absorber thickness of attenuating phase-shifting masks for hyper-NA lithography
Author(s):
Masaki Yoshizawa;
Vicky Philipsen;
Leonardus H. A. Leunissen
Show Abstract
Full-field ArF immersion scanners with NA above 1.20 are expected to be available in 2006. 45-nm-halfpitch lines and spaces (LS) are feasible by using these scanners with polarized dipole illumination. However, from the standpoint of design flexibility, using c-quadrupole or annular illumination is the better alternative to the dipole illumination. An attenuating phase-shifting mask (attPSM) has been widely used for device manufacturing to improve resolution because of the simple layer structure without rigid specifications for mask topography such as undercut biasing in case of alternating PSM. In this study the impact of the absorber thickness of single-layer and bi-layer attPSMs, i.e. MoSi, Cr/SiON, and Ta/SiO2, on the imaging performance of ArF immersion lithography is investigated by using a rigorous electro-magnetic field simulator, Prolith version 9.0.1 with advanced mask package (KLA-Tencor), using a lumped parameter resist model. Exposure latitude (EL) of critical dimension (CD), depth of focus, mask-error-enhancement factor (MEEF), and sensitivity to polarization are compared to find an optimum mask structure for the c-quadrupole illumination in hyper- NA lithography. In the hyper-NA lithography, the standard absorber thickness giving 6% transmittance is not necessarily the optimum from the viewpoint of the imaging performance. For example, to delineate 45 nm 1:1 LS with NA of 1.20 by using the Cr/SiON attPSM, the aerial-image contrast in resist of the standard 25-nm-thick Chromium is 20% smaller than that of 50-nm-thick Chromium. Optimizing the Chromium thickness increases EL by 29%, though it has few impacts, less than 1%, on 65 nm 1:1 LS with NA of 0.85. The EL of a thickness-optimized Ta/SiO2 attPSM is 33% larger than that of the standard 68-nm-thick MoSi. Supposing the dose error, the focus error, and wafer CD error, we can relax the specification of mask CD error by using the 30-nm-thick Tantalum. Ta/SiO2 is 63% more sensitive to the polarization state of the incident light than MoSi, but the impact on CD is only 0.8 nm with a 10% change of the polarization. Calculating diffraction efficiencies for the 45 nm LS shows probable causes of the better imaging performance of Ta/SiO2; intensity of the 0th- and 1st-order diffracted light becomes larger than for MoSi and Cr/SiON as the illumination angle increases, phase difference between the 0th and 1st order is smaller in the large illumination angle, and amount of the flare-like exposure by light sources of the c-quad illumination parallel to the LS is smaller. As a summary, the three attPSMs with the nominal 6% transmittance and 180o phase difference give different imaging performances. Optimizing mask materials and thicknesses is important to have better image quality at NA above 1.0.
193-nm immersion photomask image placement in exposure tools
Author(s):
Eric Cotte;
Benjamin Alles;
Timo Wandel;
Gunter Antesberger;
Silvio Teuber;
Manuel Vorwerk;
Andreas Frangen;
Frank Katzwinkel
Show Abstract
In case drastic changes need to be made to tool configurations or blank specifications, it is important to know as early as possible under which conditions the tight image placement requirements of future lithography nodes can be achieved. Modeling, such as finite element simulations, can help predict the magnitude of structural and thermal effects before actual manufacturing issues occur, and basic experiments using current tools can readily be conducted to verify the predicted results or perform feasibility tests for future nodes. Using numerical simulations, experimental mask registration, and printing data, the effects on image placement of stressed layer patterning, pellicle attachment, blank dimensional and material tolerances, as well as charging during e-beam writing were investigated for current mask blank specifications. This provides an understanding of the areas that require more work for image placement error budgets to be met and to insure the viability of optical lithography for future nodes.
EMF simulation with DDM to enable EAPSM masks in 45-nm manufacturing
Author(s):
Patrick M. Martin;
C. J. Progler;
Michael Cangemi;
Kostas Adam;
George Bailey;
Pat LaCour
Show Abstract
One of the enabling RET candidates for 45 nm robust imaging is high transmission (20-30%) EAPSM masks. However, the effectiveness of these masks is strongly affected by the electromagnetic field (EMF) that is ignored in most commercial full-chip OPC applications that rely on the Kirchhoff approximation. This paper utilizes new commercial software to identify and characterize points in a design that are especially sensitive to these EMF effects. Characterization of conventional 6% and 30% High Transmission photomasks were simulated and compared with experimental results. We also explored, via simulation-driven design of experiment, the impact of mask variations in transmission, phase, and SRAF placement and size to the imaging capability. The simulations are confirmed by producing a photomask including the experimental variations and printing the mask to silicon. Final analysis of the data will include exact mask measurements to confirm match to simulation assumptions of mask stack, and phase.
Compensation of high-NA mask topography effects by using object modified Kirchhoff model for 65 and 45nm nodes
Author(s):
Yuri Aksenov;
Peter Zandbergen;
Masaki Yoshizawa
Show Abstract
ArF immersion lithography has opened the road towards increased optical resolution at the 193nm wavelength. Consequently, keeping the same 4X optical demagnification factor, the dimensions on the mask scale down to sub-wavelength values when we enter the 45nm node. At such dimensions, mask topography, mask type and materials as well as the polarization state of the light will influence the diffraction spectrum of a layout. As a result the image from high NA lithographic systems depends on the polarization state and intensities of the interfering orders. In general, with smaller features on the mask stronger polarization changes occur. Apart from the polarization changes in diffraction orders the total intensity in a diffraction order is also different from that predicted by standard scalar-Kirchhoff diffraction approximation used in present OPC packages. The difference in intensities of diffraction orders due to different mask materials and topography is the more dominating factor leading to through pitch CD errors when the scalar-Kirchhoff model is used for layout adjustment. Based on findings and classification of topography induced effects, a deviation-driver from scalar diffraction model was identified. This paper discusses a solution to compensate for topography effects while using the scalar diffraction model for reticle treatment. The area of applicability of such a scalar model, its advantages and limitations are illustrated with simulations and experiments.
Dynamic leaching procedure on an immersion interference printer
Author(s):
Roel Gronheid;
Enrico Tenaglia;
Monique Ercken
Show Abstract
This paper describes a method to measure the dynamic behavior of resist leaching in the time domain that is relevant for immersion lithography. The total leaching amount as a function of the contact time between water and resist is obtained and successfully fitted using previously described kinetic equations. In this way valuable information is obtained for the understanding of the contribution of resist leaching to lens contamination, CD uniformity and defectivity. The procedure is further used to study the effectiveness of various leaching mitigation strategies. Top coats prove to be a very effective method to reach the leaching specifications of the tool vendors. Also immersion dedicated resist materials meet the specifications or come very close.
Fast inverse lithography technology
Author(s):
Daniel S. Abrams;
Linyong Pang
Show Abstract
Many RET technologies, such as rule and model based OPC, the use of sub-resolution assist features, and various PSM methodologies, can be thought of as heuristics employed in an attempt to design improved photomasks. Unfortunately, these traditional approaches are running into severe difficulties at advanced technology nodes (90nm and beyond). We discuss how one can find the optimal photomask by rigorously solving the lithography inverse problem. The design of the optimal mask takes into consideration not only pattern fidelity under nominal conditions, but also the size of the process window and the constraints and costs of mask manufacturing. By formulating the problem in a rigorous mathematical framework, we find highly optimal solutions which do not arise from traditional ad hoc approaches. The resulting masks often provide substantially improved depth-of-focus and exposure latitude, enabling geometries that may be otherwise unattainable.
The improvement of DOF for sub-100nm process by focus scan
Author(s):
Jung-Chan Kim;
Hyun-Jo Yang;
Jin-Hyuck Jeon;
Chan-Ha Park;
James Moon;
Dong-gyu Yim;
Jin-Woong Kim;
Shih-en Tseng;
Kyu-Kab Rhe;
Young-Hong Min;
Alek C. Chen
Show Abstract
As the design rule of device shrinks down, it is difficult to enlarge the process window, especially DOF (Depth of Focus). It has shown good results in resolution issues with short wavelength, high NA aperture and several RET (Resolution Enhancement Technique) like special illuminator and mask techniques and so on. But it needs to be challenged for DOF process window in contact / via process having various pitch and pattern location. It is a key point in sub 100nm process development and product. It is demonstrated that focus scan method is effective for DOF improvement in contact and via layers. Focus Scan method is one of the focus drilling techniques; it is realized to tilt wafer stage so that the same point on the wafer field can be exposed in limited continual focus range using multiple focal planes through the slit of scanner tool. In this study, confirmation was inspected for simulation and wafer evaluation for focus scan effects in view of process feasibility. DOF increased over 50% with focus scan in contact mask process even though there are several issues to be solved and considered. Energy Latitude (EL) decreased a little by image contrast drop, but if we consider the process window for evolution of device, it is relatively enough for process. OPC or Bias tuning is needed for application in contact layer having various pitch and location, and overlay issues are needed to confirm for each illuminator. From these experiments, it is found that DOF margin can easily be enhanced using focus scan method. Also some fine tuning is required to adequately use this method on production devices.
Finding the right way: DFM versus area efficiency for 65nm gate layer lithography
Author(s):
Chandra S. Sarma;
Steven Scheer;
Klaus Herold;
Carlos Fonseca;
Alan Thomas;
Uwe Paul Schroeder
Show Abstract
DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.
Pushing the lithography limit: applying inverse lithography technology (ILT) at the 65nm generation
Author(s):
Chi-Yuan Hung;
Bin Zhang;
Eric Guo;
Linyong Pang;
Yong Liu;
Kechang Wang;
Grace Dai
Show Abstract
This paper presents the results of applying ILT to SMIC's first 65nm tape out. ILT mathematically determines the mask features that produce the desired on-wafer results for best pattern fidelity, largest process window or an desired combination of both. SMIC applied this technology to its first 65nm tape-out to study its performance and benefits for deep sub-wavelength lithography. SMIC selected 3 SRAM designs as the first set of test cases, because SRAM bit-cells contain features which are lithographically challenging. Firstly, three experiments were performed to optimize the illumination and mask design of a pair of layers by optimizing exposure energy, enabling SRAF, and enforcing mask constraints. Secondly, mask manufacturability (including fracturing and writing time) and wafer print performance of ILT was studied. Thirdly, mask patterns generated by both conventional Optical Proximity Correction (OPC) and ILT, both using only their optical models, were placed on the mask side-by-side. The results demonstrated that ILT achieved better CD accuracy and produced significantly larger process window than conventional OPC.
Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination
Author(s):
Ting Chen;
Doug Van Den Broeke;
Stephen Hsu;
Sangbong Park;
Gabriel Berger;
Tamer Coskun;
Joep de Vocht;
Noel Corcoran;
Fung Chen;
Eddy van der Heijden;
Jo Finders;
Andre Engelen;
Robert Socha
Show Abstract
Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k1 printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k1<0.31, both resolution and imaging contrast can become severely limited by some of the current imaging tools with NA<0.85 and using standard illumination sources. Hyper-NA immersion lithography increases the process latitude and is therefore expected to become more indispensable for manufacturing under extreme low-k1 conditions for sub-50nm design rule. In this work, we describe our process optimization approach for patterning Flash/DRAM contact-hole patterns with 130nm, 120nm, and smaller minimum pitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IMLTM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further enhancements of >20% in DOF @ 6% EL using Y linear polarization can be achieved, before SB and MOPC. With preliminary SB and MOPC, the worst-case DOF @ 6% EL is increased from 100nm to 150nm and with common PW for all critical CDs, from isolated to dense contact-holes. Two examples of customized polarizations are considered in the above simulations to demonstrate the effects of polarizations on imaging and process latitude for pattern specific contact-holes. The pros and cons of the current patterning solution are discussed and compared with alternatives.
Calibrating OPC models using asymmetric structures
Author(s):
Laurent Depre;
Christopher Cork;
Qiliang Yan
Show Abstract
Good OPC model calibration structures should be representative of and span the dimensions and layout forms that will be found in the product on which the model will be applied. If model fitting is done using edge placement (EPE) methods, only symmetric structures can be used and this constrains the model fitter to a classic but limited set of calibration structures. The most critical features, such as those from a bit cell tend to be asymmetric. While asymmetric structures have typically been used for model verification, using them in model calibration structures provides more degrees of freedom for the calibration test structures to capture two dimensional behavior. This produces more robust, accurate models which yield better quality corrections on wafer. During process development models are re-calibrated as the process is adjusted and optimized. In some cases particularly important critical configurations can be added to the calibration set to insure maximum accuracy on those features. As these configurations are extracted from real designs, they are rarely symmetric. This paper describes how by using a CD-based rather than an edge-placement based modeling approach, OPC models can be created from asymmetric, more product-like type structures, and demonstrates how this can allow better predictability on other verification structures. The paper will also review the two types of model forms commonly used (Constant and Variable Threshold models) and compare their performance while using asymmetric calibration structures.
Lithography process optimization using linear superposition of commonly available illumination modes
Author(s):
Michael M. Crouse;
Yasri Yudhistira;
Min Ho Lee;
Hope Matis
Show Abstract
The objective of this work is to demonstrate a simple Linear Superposition approach to creating an optimized illumination scheme from commonly available apertures (e.g. conventional, annular, quadrupole, dipole, etc.) that meet a variety of lithographic process metrics. Previous authors have demonstrated a variety of approaches to optimize the illumination for a given lithographic process. One common example is to use an optimized illumination for a specific pitch range and breaking the exposure into multiple reticles designed to print only nested or isolated features. A second example that has been widely demonstrated is to develop a single custom illuminator, which requires long lead times for delivery and a large capital investment. The true on-wafer performance of this custom illuminator can only be determined post-installation, providing limited ability to verify the simulation work a priori. The linear superposition method described here produces an optimal illumination scheme for a given photolithographic process. The success of this approach is due to the acceptably small nature of the electric field interaction terms between individual illumination modes allowing a multiple-exposure system to model a composite source. Images from optimized sub-components can be added to generate a composite image that is superior overall to any one process alone. After each exposure in a multiple exposure system there is a latent image that is only developable after a specific energy or dose level has been surpassed. It is the additive process of these latent images that creates the composite image. The composite image has the additive properties of the sub-components according to their dose fractions. Once the optimal process and dose split have been determined, it is straightforward to create a composite aperture to produce the same process by a single exposure. The composite aperture is the addition of the multiple sub-components with the relative transmission of each related to the illuminated surface area for systems designed to deliver uniform brightness. This approach produces superior pattern fidelity and an optimized common lithography process without the pitfalls of any one of the sub-component illumination modes.
Mask topography effect on OPC at hyper-NA lithography
Author(s):
Sook Lee;
In-Sung Kim;
Yong-Jin Chun;
Sang-Wook Kim;
Suk-Joo Lee;
Sang-Gyun Woo;
Han-Ku Cho;
Joo-Tae Moon
Show Abstract
Most of simulation tools and OPC engines use Kirchhoff (thin mask) approximation for imaging calculation. Some commercial simulation tools have implemented the rigorous algorithm to solve the Maxwell's equations for the electric and magnetic fields. Currently, a rigorous algorithm is being used for the case of high topographical mask such as CPL and alternating PSM. However, the mask topographical effect of binary mask and attenuated PSM is not negligible in the case of hyper NA lithography. Implementing the rigorous algorithm on full chip OPC is impractical due to its OPC runtime limitation. Thin mask and rigorous simulation modeling are compared to check whether the current algorithms of OPC tools can sufficiently reflect the mask topography effect of hyper NA lithography and whether a combination of currently usable algorithms can cover the mask topography effect. OPC modeling is generally executed based on measured CD data. However we do not have usable hyper NA scanners, so the OPC modeling is executed based on full physical simulation data to the resist image, which we will define as a "Virtual OPC modeling".
In quest of predictive lithography simulation
Author(s):
Christian K. Kalus;
Hinderk M. Buß;
Peter D. Brooker
Show Abstract
In its chapter "Modeling," the International Technology Roadmap for Semiconductor 2005 edition stipulates the need for "Multi-generation lithography system models." Most lithographers would share this opinion that even if the equipment needs constant refurbishing, the software should survive at least a couple of technology generations. Fortunately, the table in which the statement appeared in the ITRS roadmap was accurately entitled "difficult challenges." This article will shed some light on the process of progressive modeling while making clear that, in all likelihood, formidable challenges will remain. The very core of simulation is a physical / chemical model of the real world. Lithographers need a sound model for the next technology node, not a short-sighted one, despite the fact that this is very difficult to achieve. This paper will use the parable of the ichthyologist as a starting point for the problem. It will translate the parable into the "deep waters" of lithography, showcasing lithography simulation as it has evolved over the years. Finally, it will present a small, yet decisive, recent step toward predictive lithography simulation. This example will include an improvement in the model for the post-exposure bake of chemically amplified resists, as well as a non-comprehensive list of foreseeable challenges.
Predictive focus exposure modeling (FEM) for full-chip lithography
Author(s):
Luoqi Chen;
Yu Cao;
Hua-yu Liu;
Wenjin Shao;
Mu Feng;
Jun Ye
Show Abstract
To minimize or eliminate lithography errors associated with optical proximity correction, integrated circuit manufacturers need an accurate, predictive, full-chip lithography model which can account for the entire process window (PW). We have validated the predictive power of a novel focus-exposure modeling methodology with wafer data collected across the process window at multiple customer sites. Tachyon Focus-Exposure Modeling (FEM) first-principle, physics-driven simulations deliver accurate and predictive full-chip lithography modeling for producing state-of-the-art circuits.
Mass production level ArF immersion exposure tool
Author(s):
Masahiko Okumura;
Jun Ishikawa;
Masato Hamatani;
Masahiro Nei
Show Abstract
VLSI chips are becoming denser and the industry is now moving to the development of devices at the 65nm node. While Nikon is working toward the development of next-generation lithography tools, we are also making efforts to extend the life of DUV excimer steppers by continuing reductions in feature size without any major changes to the conventional process. Nikon's new model, the ArF Immersion Scanner NSR-S609B, utilizes immersion lithography in which the space between the projection lens and the wafer is filled with ultra pure water with a refractive index of 1.44. This immersion technology enables the NSR-S609B to break through the N.A. 1.0 barrier to achieve the world's highest N.A. of 1.07, an impossible feat by a conventional lithography or dry exposure. This system will contribute to the production of advanced 55nm and smaller devices. Latest evaluation results of the immersion imaging system and the new stage system are presented.
Development status of a 193-nm immersion exposure tool
Author(s):
Takahito Chibana;
Hitoshi Nakano;
Hideo Hata;
Nobuhiro Kodachi;
Naoto Sano;
Mikio Arakawa;
Yoichi Matsuoka;
Youji Kawasaki;
Sunao Mori;
Keiko Chiba
Show Abstract
193-nm immersion lithography using water as the immersion fluid is the most promising technology candidate for achieving the 45nm HP node. We have been developing a high NA immersion exposure tool through collaboration with several companies in the industry. This paper presents the results we have obtained on various aspects of immersion exposure system development, and discusses the latest status on the issues that have been explored. In immersion lithography, leaching from resist raises concerns about lens contamination. Using a lens contamination test setup, we examined deposition that is formed on the lens surface when irradiated with a laser. It is estimated from the results that no contamination due to PAG will occur in the exposed area. The test results will be shown in detail. Using our immersion system, no defects have been found so far that are identified as bubble-induced. Therefore, we intentionally obtained bubble-induced defects by introducing micro bubbles into the immersion liquid. The findings will be discussed in this paper. Also, we established our "Immersion Evaluation Laboratory" to facilitate evaluation of all aspects of the immersion lithography process. The laboratory is equipped with (1) 193nm immersion scanner, FPA-6000AS4i with NA 0.85 and a 300mm wafer stage capable of 500mm/s scanning, (2) coater/developer, (3) defect inspection system and (4) SEM. We have performed full-wafer exposure tests using the AS4i, the result of which will be also presented.
Early learning on hyper-NA lithography using two-beam immersion interference
Author(s):
Eric Hendrickx;
Maaike Op de Beeck;
Roel Gronheid;
Janko Versluijs;
Lieve Van Look;
Monique Ercken;
Geert Vandenberghe
Show Abstract
Two-beam interference of 193nm laser light can print dense line-space patterns in photoresist, down to a resolution that can only be obtained using hyper-NA scanners, and allows for early learning on hyper-NA imaging and process development. For this purpose, a dedicated two-beam interference immersion printer, operating at 193nm wavelength, was installed in the IMEC cleanroom. The interference printer consistently generates L/S patterns at 130nm, 90nm, and 72nm pitch with exposure latitudes in the 12-26% range (when using TE-polarized light). At these pitches, process and imaging issues have been studied that are of direct interest for hyper-NA lithography. On the imaging side, we discuss the flexibility of the printer towards working with various polarizations. We show how reflection reduction strategies at the high incidence angles of hyper-NA imaging can be tested in the interference printer. On the processing side, we have screened a number of resists at 90nm pitch. A methodology to study static and dynamic leaching was developed. Several liquids with refractive index >1.6 are currently being developed as potential candidates to replace water for optical lithography at 38nm half-pitch. We have used the interference printer at 72nm pitch, with both water and liquids of refractive index 1.65.
SLM lithography: printing down to k1=0.30 without previous OPC processing
Author(s):
Tor Sandstrom;
Igor Ivonin
Show Abstract
SLM-based mask writers have high speed, good CD uniformity, good resolution [1], but they are limited by the
resolution of the optics. Moreover the optical image is not perfectly neutral; it has certain artifacts due to the partially
coherent nature of the light. It is possible to remove those if the mask data file is OPC processed for the mask writer
optics before the data is sent to the mask writing tool, but not without an impact on cycle time and cost.
In this work we have combined several elements to create a system for real-time OPC, i.e. the OPC processing of the
mask data is embedded in the data path of the mask writer. In this way it adds neither time, nor cost to the mask
production. First, the scheme uses the analog tilting mirrors of the Sigma mask writers which are pure amplitude (not
phase) modulators which make the rasterisation simple and makes interpolation and summation of the mirror amplitudes
possible. Secondly, the optics is optimized to minimize the optical interaction length, thereby reducing the number of
operations needed. Third, an explicit perturbation formula is used to correct the intensity on every edge. The fourth
element, is a reduction of the optical kernel based on the symmetries of the image. We show that the described OPC
processing is suited to be implemented in state-of-the-art FPGA devices.
Liquid immersion lithography at 193 nm using a high-NA achromatic interferometer
Author(s):
Anne-Laure Charley;
Alexandre Lagrange;
Olivier Lartigue;
Philippe Bandelier;
Marianne Derouard;
Patrick Schiavone
Show Abstract
In this paper, we present an immersion interferometer specially designed to be used with a commercial ArF excimer laser. Different configurations are presented enabling the printing of dense lines with a pitch down to 65 nm with an acceptable depth-of-focus. Photoresist patterns are shown at a half-pitch down to 40 nm with nice squared profiles. First polarization studies at high-numerical aperture (NA) have been performed and we noticed a good correlation between roughness and polarization variation at high NA.
Catadioptric lens design: the breakthrough to hyper-NA optics
Author(s):
Bernhard Kneer;
Paul Gräupner;
Reiner Garreis;
Ralph Kläsges;
Heiko Feldmann
Show Abstract
To enable optical lithography for sub 55 nm features, ArF immersion lithography requires numerical apertures to be significantly larger than 1 - thus leading to new challenges for optical design. Refractive lens designs are not capable to capture these extreme etendues. Catadioptric lens designs can overcome these fundamental issues by keeping the diameters of the optical materials acceptable. We have studied various catadioptric design approaches. The main criteria used to evaluate the potential of the different solutions include mechanical complexity, reticle compatibility, optical sensitivities, polarization capabilities, image field shape, as well as enabling extendibility to even higher NAs. Our assessment leads us to a new design type called catadioptric in-line design which shows superior performance for high NA systems with NA > 1.1.
A hyper-NA projection lens for ArF immersion exposure tool
Author(s):
Hironori Ikezawa;
Yasuhiro Ohmura;
Tomoyuki Matsuyama;
Yusaku Uehara;
Toshiro Ishiyama
Show Abstract
Resolution enhancement in ArF dry lithography is limited by the numerical aperture (NA), which cannot be extended past the physical limit of 1.0. Immersion lithography is proposed as a candidate to overcome this limitation as resolution can be enhanced with a hyper-NA immersion projection lens. In addition, depth of focus (DOF) can be extended owing to the small incident angle for marginal rays onto the image plane. Our development of immersion optics can be divided into three phases. First, the initial evaluation has successfully been conducted in the engineering evaluation tool (EET), in which the projection optics is converted from dry-use to wet-use while retaining the same NA, 0.85. Second, the projection optics with 1.07NA has been developed aiming at devices with 50-55nm half-pitch (hp) patterns. The optics, comprising only the refractive elements, is exclusively dedicated to immersion usage. Third, catadioptric optics with 1.3NA targeting at 45nm hp devices is intensively studied. This paper will focus on the second and the third phases of the development.
What determines the ultimate resolution? The critical relationship between exposure tools and photoresists
Author(s):
Tokuyuki Honda;
Yasuhiro Kishikawa;
Yuichi Iwasaki;
Akinori Ohkubo;
Miyoko Kawashima;
Minoru Yoshii
Show Abstract
As the resolution of optical lithography is being pushed for 45-nm half-pitch node, there is a growing concern about the printing capability of chemically amplified resists. The chemical amplification involves photoacid diffusion that causes contrast degradation of latent image or, in other words, resist blur. In this paper, we study the influence of the resist blur in high-NA ArF immersion lithography by using an interferometric exposure tool. Contrast ratio between the resist latent image and the original aerial image was measured for half pitch from 50 nm to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming Gaussian blur kernel. The results revealed that the influence of resist blur is in fact a significant issue for the 45-nm half pitch node. We consider that reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in the exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with high-index immersion fluid. The reduction of acid diffusion will be even more important if the ArF immersion is to be extended beyond 45-nm half-pitch node with high-index fluids. While the focus of the paper is on high-NA ArF immersion lithography, our findings are also relevant to EUV lithography.
XLA-300: the fourth-generation ArF MOPA light source for immersion lithography
Author(s):
Fedor Trintchouk;
Toshihiko Ishihara;
Walter Gillespie;
Richard Ness;
Robert Bergstedt;
Christian Wittak;
Richard Perkins
Show Abstract
The XLA 300 is Cymer's fourth-generation MOPA-based Argon Fluoride light source built on the production-proven XLA platform. The system is designed to support very high numerical aperture dioptric and catadioptric lens immersion lithography scanners targeted for volume production of semiconductor devices at the 45nm node and beyond. The light source delivers up to 90 W of power with ultra-line narrowed bandwidth as low as 0.12 pm FWHM and 0.25 pm 95% energy integral. The high output power is achieved by advancements in pulse power technology, which allow a 50% increase in repetition rate to 6 kHz. The increased repetition rate, along with pulse stretching, minimizes damage to the scanner system optics at this high power level. New developments in the laser optical systems maintain industry-leading performance for bandwidth stability and high level of polarization despite the increased thermal load generated at the higher repetition rate. The system also features state-of-the-art on-board E95% bandwidth metrology and improved bandwidth stability to provide enhanced CD control. The E95% metrology will move bandwidth monitoring from a quality safeguard flag to a tool that can be used for system feedback and optimization. The proven high power optics technology extends the lifetime of key laser optics modules including the line-narrowing module, and the cost of consumables (CoC) is further reduced by longer chamber lifetimes.
A new on-machine measurement system to measure wavefront aberrations of projection optics with hyper-NA
Author(s):
Y. Ohsaki;
T. Mori;
S. Koga;
M. Ando;
K. Yamamoto;
T. Tezuka;
Y. Shiode
Show Abstract
In the low-k1 lithography age such as today, reducing wavefront aberrations of projection optics is of crucial importance. Whereas the NA of an exposure tool becomes close to 1.0 or more, its residual aberration has attained 10 milli-lambda or less. In order to guarantee such small aberrations, the development of its on-machine measurement system with high accuracy is an urgent need. Many methods have been proposed in the literature, but their applicability is questionable in this hyper-NA region because of the emerging non-linearity of the measurement. In order to meet the severe requirements from the projection optics, we have reviewed various measurement methods, in particular from the viewpoint of their applicability to the high NA including immersion. The usage of the exposure light source, the control of coherence, and hyper-NA light detection were the basic items of the development. In addition, as a projection optics is very sensitive to the circumstances in the region of less than 10 milli-lambda, its dynamic control is necessary to keep its performance. High-speed measurement is indispensable to control the aberration in the dynamic sense. With all these things into consideration, we have built a new on-machine measurement system to cope with the hyper-NA specific issues. In this paper, we will show the basic principle and the experimental data of our new system using a projection optics. In addition, its applicability to the immersion system will also be discussed.
High power injection lock 6kHz 60W laser for ArF dry/wet lithography
Author(s):
Hakaru Mizoguchi;
T. Inoue;
J. Fujimoto;
T. Suzuki;
T. Matsunaga;
S. Sakanishi;
M. Kaminishi;
Y. Watanabe;
T. Nakaike;
M. Shinbori;
M. Yoshino;
T. Kawasuji;
H. Nogawa;
H. Umeda;
H. Taniguchi;
Y. Sasaki;
J. Kinoshita;
T. Abe;
H. Tanaka;
H. Hayashi;
K. Miyao;
M. Niwano;
A. Kurosu;
M. Yashiro;
H. Nagano;
T. Igarashi;
T. Mimura;
K. Kakizaki
Show Abstract
The 193-nm lithography is moving from the pre-production to the mass production phase and its target node is shifting from 90 nm to 65 nm. And the ArF-immersion (Wet) technology is spotlighted as the enabling technology for below 45nm node1)2). Since 1998 we have demonstrated 30W, 0.12pm, @157nm line narrowed light source for microlithography with "Injection lock technology". The injection lock technology has advanced performances compared with MOPA (Master Oscillator Power Amplifier) technology, in efficiency, stability and spectral property. Based on this injection lock technology, we have successfully developed high power injection lock laser platform "GigaTwin" for 193nm lithography system GT40A (45W, 4000Hz, 11.25mJ) on Q4 20043)4). We have found solution for higher repetition rate up to 6kHz operation on the same platform as GT40A which is our original innovation named GT60A (Figure 1). In this paper, we will introduce this new GT60A (6kHz 60W) laser.
Performance study of chromeless phase lithography mask for the 65nm node and beyond
Author(s):
Yosuke Kojima;
Takashi Ohshima;
Kazuaki Chiba;
Toshio Konishi
Show Abstract
Chromeless Phase Lithography (CPL) is one of resolution enhancement technologies (RET) for 65nm node and beyond. CPL has various advantages such as no necessity of double exposure, and small pattern displacement and CD error caused by the intensity imbalance. The high resolution lithography can be expected with the combination of high NA and off-axis illumination (OAI) in 193nm lithography. It is known that CPL can flexibly change structure through gate pitch. There are various kinds of structure, such as pure CPL, Zebra, Rim, and Stripe. And there are also various kinds of scattering bar depending on the gate pitch. In this paper, we estimated normalized image log-slope (NILS), mask error enhancement factor (MEEF), depth of focus (DOF) and phase shift depth for each CPL structure by rigorous 3D mask electro-magnetic field (EMF) simulation on mask topographies. And it was found that Zebra and Stripe can improve NILS, and Stripe is most effective to improve MEEF for narrow pitch. There is no large difference in DOF between all structures, and DOF for all structures with wide pitch can be expanded by the addition of chrome scattering bar. We evaluated the impact of phase shift depth and found that the optimal phase shift depths of all structures are larger than 180degrees. The improvement of mask-making accuracy becomes more important to achieve better mask pattern resolution. Therefore, we focused on the defects of the sub-resolution chrome feature and chrome scattering bar. It was found that the defects of sub-resolution chrome feature have big influences on the lithography performance. And the defects of scattering bars become more sensitive with closer to the main feature.
Optimization of chromeless phase mask by comparing scattering bars with zebra patterns
Author(s):
Hye-Young Kang;
Ji-Eun Lee;
Eun-A Kwak;
Eun-Jin Kim;
Seung-Wook Park;
Sung-Hyuck Kim;
Dong-Soo Shin;
HeeJun Jeong;
Hye-Keun Oh
Show Abstract
Resolution enhancement technology (RET) refer to techniques that extend the usable resolution of an imaging system without decreasing the wavelength of light or increasing the numerical aperture (NA) of the imaging tool. Off-axis illumination (OAI) and phase shift mask (PSM) are essentially accompanied with optical proximity correction (OPC) for most devices nowadays. In general, these three techniques do not work in isolation and the most aggressive mainstream lithography approaches use combinations of all RETs. In fact, OAI and PSM are essentially useless for typical chip-manufacturing applications unless accompanied by OPC. For low k1 imaging, strong OAI such as Quasar or dipole illumination types is the best. We used dipole illumination in this study. By using strong OAI, the amplitude of the 0th order is decreased and the amplitude of the 1st order is increased. Chromeless phase lithography (CPL) is one of PSM technologies and CPL mask is the possible solution for small geometry with low mask error enhancement factor (MEEF). CPL uses only 180 degrees phase-shifter on transparent glass without chromium film to define light-shielding region, destructive interference between light transmitted through the 0 degree and 180 degrees regions produces dark images. To obtain the best resolution, proper OPC is required with CPL. While the most common and straightforward application of OPC is to simply move absorber edges on the mask by giving simple mask bias, the interesting and important additional technique is the use of scattering bars. Also, we can use zebra patterns for the transmission control. Mask intensity transmission changes can impact the image quality. Zebra patterns are formed by adding chromium transverse features. The transmission will be controlled by the zebra pattern density. Technology node with ArF source is studied and the mask optimization is found to be a critical. And the linewidth of scattering bars, transmission (using zebra feature) are varied at line and space (L/S) patterns. We used 65 nm node 5 L/S and 45 nm node isolated line pattern. In order to optimize the zebra pattern density, we need to control the line width and pitch of the zebra patterns. For dense line and isolated line, the use of scattering bars and zebra patterns affected target critical dimension. We found out the better process window at dense 65 nm node by comparing the use of scattering bars with zebra patterns. Likewise, we optimized the isolated 45 nm node.
Application of super-diffraction lithography (SDL) for an actual device fabrication
Author(s):
Shuji Nakao;
Shinroku Maejima;
Itaru Kanai;
Akihiro Nakae;
Junjiro Sakai;
Koichiro Narimatsu;
Kazuyuki Suko
Show Abstract
A novel RET, "Super Diffraction Lithography" (SDL), which enable 70 nm any pitch line by single exposure in KrF wavelength, has been studied in order to apply for an actual device pattern formation. In a previous work, the concept of SDL has been described with optical image calculations for 1-dimensional patterns and very superior performance has been proved. In this work, imaging characteristics and printing performance of typical 2-dimension patterns are investigated by optical image calculations and printing experiments to realize an application of SDL technique to fabrication of actual device patterns. As a result, very good performance is achieved for the typical 2-dimentional patterns such as line-end, tee-branch. Moreover, good performance is obtained for general SRAM patterns and standard cell of 65 nm node logic device with a little relaxation of design rule. In conclusion, by the application of SDL, 65 nm SoC patterns with a little relaxed design can be formed by single exposure process in KrF wavelength with a simple Atten-PSM. Then, huge cost reduction can be expected by application of SDL.
DOF enhancement for contact holes by using Nikon's CDP option and its introduction into production
Author(s):
Louis-Pierre Armellin;
Virginie Dureuil;
Laurent Nuel;
Vincent Salvetat;
Winfried Meier;
Andreas Kraft
Show Abstract
Historically the primary methods used to achieve the industries ever-tightening resolution requirements were reduction of exposure wavelength and increased projection lens NA. Today however, photo engineers are pushing optical lithography well beyond the realm of what was once considered practical. Specific scanner exposure tool features have to be implemented to achieve the aggressive imaging objectives. One such example is to use focus drilling to expand the depth of focus for contact layers. This paper describes the implementation of focus drilling through the Continuous DOF expansion Procedure (CDP). In CDP, the wafer is tilted along the scanning direction, while the wafer stage continuously moves upward or downward during exposure. CDP technology provides an enhanced process window with initial data showing a 30% improvement in DOF for 250-nm contact holes. It also eliminates the need for double exposures and therefore maintains high throughput, comparable to standard wafer exposure.
Model-based placement and optimization of subresolution assist features
Author(s):
Levi D. Barnes;
Benjamin D. Painter;
Lawrence S. Melvin III
Show Abstract
Sub-resolution assist features (SRAFs) are an important tool for improving through-process robustness of advanced lithographic processes. Assist features have generally been placed and adjusted according to heuristic rules. The complexity of these rules increases rapidly with shrinking features size requiring more wafer data for calibration and more effort on the part of engineers. For advanced nodes, a model-based approach may better account for the variety of two-dimensional geometries and reduce substantially the amount of user effort required for effective SRAF placement. There are many ways in which model-based methods can be used to improve the effectiveness of assist features; we investigate several here. In the investigations described here, process window models may be employed to: 1) derive optimal rules for initial AF placement in a rule-based process, 2) resolve mask rule violations in optimal ways, and 3) make post-placement corrections of mask sites with poor behavior. In addition, we discuss a method for replacing an initial rule-based assist feature placement with a model-based placement which can consider the local two-dimensional geometry.
The investigation of 193nm CPL 3D topology mask effect on wafer process performance
Author(s):
Yung Feng Cheng;
Yueh Lin Chou;
Chuen Huei Yang
Show Abstract
As semiconductor process technology moves down below 90nm and 65nm, 193nm CPL (Chromeless Phase Lithography) technology becomes an important lithography strategy for process improvement on critical layers. In addition to the demand for very tight mask CD control, for a dry-etched process, there are two critical factors that can have significant impact on wafer CD control and window performance. They are etch-depth control (phase) through feature pitch and overall etching slope profile. Both affect image quality and the final overlapped process window. In this paper, we will study the effect of a 3D topology mask on the process window and wafer CD by making special 193nm CPL masks and printing them on 300mm wafers under a production-manufacturing environment. These masks had been specially designed with different sidewall angles and different etch depths (phase). There are 4 different quartz etch depths and 3 different sidewall angles for specially designed test patterns that are compatible with the 65nm technology node. They are printed on 300mm wafers by using a high NA ASML 193nm scanner and high contrast resist. In order to establish more effective specifications of phase and profile control on 193nm CPL between mask shops and wafer fabs, all AFM, wafer CD, and simulation results will be compared and correlated. By comparing the wafer CD and pattern profile on through focus conditions, we can understand the impact of phase and 3D mask profile on process performance.
Robust double exposure flow for memory
Author(s):
J. W. Park;
Sungsoo Shu;
Insung Kim;
Youngsuk Kang
Show Abstract
Rapidly decreasing critical dimension is demanding new RET technologies like PSMgate, customized strong off-axis, and Double Exposure. Among them, Double Exposure is becoming a stronger candidate as throughput issue is getting better because of exposure tool's enhancement. Indeed, immersion is not fully ready and many semiconductor manufacturing companies want to extend their exposure tools for sub 55nm process. So, Double Exposure has been studied for a long time and suggested by many lithographers for sub 55nm process. For Logic device, it has many challenges to make Double Exposure work like need for model based layer decomposition. But for Memory device such as DRAM and FLASH, there is a good way to make Double Exposure flow robust because its design is not that random like Logic Device. In this paper, we will investigate and show how to implement robotic Double Exposure using two typical Double Exposure illumination combinations, Dipole-Ann and Double Dipole.
Multiple focal plane exposure in 248nm lithography to improve the process latitude of 110nm contact
Author(s):
Sunwook Jung;
Elvis Yang;
T. H. Yang;
K. C. Chen;
Joseph Ku;
Chih-Yuan Lu
Show Abstract
Nowadays, RET (Resolution Enhancement Technology) is applied into lots of processes with special attention on the stage of development and manufacturing. Of the RET applications, FLEX (Focus Latitude Enhancement eXposure) [1- 2] is well known for 20 years and had shown that this method can enlarge the focus latitude on total window of DoF-EL (Depth of Focus and Exposure Latitude) through the benefit of gathering two or more exposure images with different
focus planes. In double focal exposure, only focus level with even energy separation was considered in this study, and the image contrast flattening over wide focus range and contrast value lowering were demonstrated in this study by simulation. The lowering contrast level directly affects on physical resolution capability and proximity. But the area that is used to be a low contrast in single exposure has gained benefit from the image super-position, hence the variation of contrast over focus is much smaller by double focal exposure and wider DoF is achieved. As for triple image plane
process, the process selections are more versatile than single and double exposure; for example, we can even superpose the images with different energy distributions. In this paper, several image plane combinations were first reviewed by contrast level and contrast variation through normalized focus by simulation for optimizing the process condition, and then experimental verifications were also carried out to compare the lithographic parameters, such as, depth of focus, exposure latitude, CD controllability and mask error enhancement factor, for our interesting 1-D contact.
Contact-hole process window improved by assistant features with FLEX function on KrF
Author(s):
Cheng Ku Chiang;
L. S. Yeh;
Wen Bin Wu;
Chiang Lin Shih;
Jeng Ping Lin
Show Abstract
As semiconductor technologies move toward 90nm generation and below, it is more difficult to get high pattern fidelity by
248nm wavelength exposure and 193nm processes are turning into major production currently. However, in order to continue
KrF production life, research has paid attention to low k1 processes on KrF. There are a lot of resolution enhancement
technologies (RET) such as OPC, assistant features and double exposure technologies (DET) have been introduced. Sub-
Resolution Assists Features (SRAF) is a well know and well described method for process window improvement. The
introduction of such a technique is not always an easy task for two reasons. On one hand the SRAF placement rules must be
defined very well and on the other hand an empirical simulation model must be created, which describes the process. There
are sub-resolution features and make semi-isolated and isolated features to be imaged like dense feature as the illumination
conditions are always decided by most dense pitch. Assistant features have been helpful in extending the limit of optical
lithography. This study describes the improvement in contact-hole process window and resolution conspicuously. It also
indicates that the effect of contact-hole process with assistant features and FLEX, the process window is improved about
60% after bias fitting and it has been guided to production already.
One method to monitor the PPD function of Nikon scanners and some reticle surface particle detection machines
Author(s):
Wei-Han Yang;
Ying-Ku Lin;
C. C. Huang
Show Abstract
In the lithography process, if particles fall on the reticle, it will cause frame defocus, abnormal CD, bin fail ,and even low yield after exposure. This issue is more and more important in 90nm, 60nm, and 45nm processes.Nikon scanners have a pellicle particle detector (PPD) function that detects particles on the reticle surface before and after exposure. But how do you make sure the PPD function is working? And is the PPD sensitivity what you want? Until now, there has been no method to monitor this issue. Even Nikon and other mask shops could not provide a monitoring method.We offer an easy method that not only makes sure that the PPD function is working, but also monitors the sensitivity you want. The method is to make a monitor reticle by laying a hair on the reticle surface. If the position on the reticle with a hair triggers all alarms with C grade during PPD examination, we say this PPD function is ready because the width of the hair is about 75 um, and this is just the desired size.
LIS design for optimum efficiency
Author(s):
Lev Ryzhikov;
Yuli Vladimirsky
Show Abstract
This paper presents an approach to Laser Illumination System (LIS) efficiency optimization for High Numerical Aperture (NA) Microlithography exposure tools. These advanced tool are immersion systems with NA>1 utilizing many Reticle Enhancement Techniques (RET). In order to provide maximum efficiency, especially for high NA systems, the following conditions have been taken into consideration: étendue law for LIS subsystems consisting of sequential optics; proper relations between transverse and longitudinal dimensions of optical path; laser light coherence management by overlap of individual exposure fields generated from different parts of the laser beam; polarization management; flexible illumination partial coherence (PC) management; illumination relay and condenser systems have to be optically matched in order to minimize: pupil ellipticity, field vigneting, and non-telecentricity. Individual importance of listed conditions and their parameters will be explained and discussed as applied to illumination systems with high NA.
Active spectral control of DUV light sources for OPE minimization
Author(s):
Wayne J. Dunstan;
Robert Jacques;
Robert J. Rafac;
Rajasekhar Rao;
Fedor Trintchouk
Show Abstract
The variation of CD with pitch, or Optical Proximity Effect (OPE), in an imaging system shows a behavior that is characteristic of the imaging and process conditions and is sensitive to variations in those conditions. Maintaining stable process conditions can improve the effectiveness of mask Optical Proximity Correction (OPC). One of the factors which affects the OPE is the spectral bandwidth of the light source. To date, passive bandwidth stabilization techniques have been effective in meeting OPE control requirements. However, future tighter OPE specifications will require advanced bandwidth control techniques. This paper describes developments in active stabilization of bandwidth in Cymer XLA and 7010 lasers. State of the art on board metrology, used to accurately measure E95 bandwidth, has enabled a new array of active control solutions to be deployed. Advanced spectral engineering techniques, including sophisticated control algorithms, are used to stabilize and regulate the bandwidth of the light source while maintaining other key performance specifications.
244-nm imaging interferometric lithography test bed
Author(s):
Svjatoslav Smolev;
A. Biswas;
A. Frauenglass;
Steven R. J. Brueck
Show Abstract
The minimum half-pitch feature accessible by optical lithography is CD = λ/(4NA). For an imaging
system, the ultimate resolution does not reach this level as a result of the need to capture the information
in the pattern-dependent sidebands around the fundamental frequency; roughly a system with these
capabilities should be able to print ~ λ/(3NA) to λ/(3.5NA) half-pitch patterns. Imaging interferometric lithography
(IIL), combining low-σ, off-axis illumination (OAI) at the limits of the pupil with pupil-plane
filters (PPF), to ensure a uniform frequency-space coverage, and multiple exposures covering different regions
of spatial frequency-space, provides an approach to accessing these fundamental, linear-systemsresolution
limits with simple binary chrome-on-glass masks without any requirement for either optical
proximity correction (OPC) or phase shift masks (PSM). Polarization control is an advantage of IIL, as
with other off-axis approaches, that is becoming more important as hyper-NA tools are introduced. Additionally,
the PPFs allow for intensity adjustment in the pupil plane, which can further improve the image
contrast. A test bed has been assembled at a 244-nm wavelength using a 0.9 NA optical system. For this
system, the minimum half-pitch is 68 nm, and the limiting half-pitch for arbitrary features is ~ 70- to 60-
nm. Demonstrations of printing arbitrary 113-, 100-, 90- and 86-nm half-pitch patterns are reported. Good
imaging was achieved with simple binary chrome-on-glass masks without the use of any additional OPC or
PSM. The image improvement by adjusting the contrast of the image with a simple intensity PPF mask is
demonstrated. These results scale to the 45-nm half-pitch node for a 193 nm source and a 1.3 NA. The essential
point is that robust imaging for a 45-nm half-pitch is available using IIL without any mask-based
resolution enhancement techniques.
Effects of beam pointing instability on two-beam interferometric lithography
Author(s):
Yongfa Fan;
Anatoly Bourov;
Michael Slocum;
Bruce W. Smith
Show Abstract
In a photolithographic system, the mask patterns are imaged through a set of lenses on a resist-coated wafer. The image of mask patterns physically can be viewed as the interference of the plane waves of the diffraction spectrum captured by the lens set incident on the wafer plane at a spectrum of angles. Two-beam interference fringe is the simplest format of the image. Consequently, two-beam interferometric lithography is often employed for photolithographic researches. For two-beam interferometric lithography, beam pointing instability of the illumination source can induce fringe displacement, which results in a loss of fringe contrast if it happens during the exposure. Since some extent of beam pointing instability is not avoidable, it is necessary to investigate its effects on the contrast of the interference fringe. In this paper, the effects of beam pointing instability associated with a two-beam interferometric lithography setup are analyzed. Using geometrical ray tracing technique and basic interference theory, the relationship between the beam tilt angle and interference fringe displacement is established. For a beam pointing instability with random distribution, the resulted fringe contrast is directly proportional to the Fourier transform of the pointing distribution evaluated at 1/(2π). The effect of a pointing instability with normal distribution on interference contrast is numerically investigated.
High-throughput homogenizers for hyper-NA illumination systems
Author(s):
H. Ganser;
M. Darscht;
Y. Miklyaev;
D. Hauschild;
L. Aschke
Show Abstract
Uniform illumination of the mask plays an important role in current exposure tools and will be even more challenging for hyper-NA systems. Arrays of refractive microoptics are the ideal solution for high transmission homogenising elements since these arrays can provide very steep intensity profiles (top hat and other profiles), and do not suffer from zero order losses like diffractive elements. This paper discusses monolithic elements based on crossed cylindrical lenses with a fill factor close to 100%. The Simulations and measurements here presented prove that microoptic arrays can be produced which provide a uniformity of the homogenized laser light in the 1% P-V range at numerical apertures above 0.3. Refractive microoptic arrays do not change the polarization state of the transmitted light which is an important prerequisite in immersion exposure tools. LIMO homogenizer sets are manufactured from fused silica and Calcium fluoride and thus suitable for all DUV wavelengths at highest laser fluxes. LIMO produces free form surfaces on monolithic arrays larger than 200 mm with high precision and reproducibility. Surface test methods and the final UV-tests are presented, guaranteeing the performance for the applications. Data gained with these tests are shown with regard to meeting the design parameters, reproducibility over one wafer and reproducibility in large lots. New concepts of "ready to use" pre-aligned homogenizer modules are shown and finally this paper illustrates results of new refractive elements which provide additional functionality like e.g. hexagonal fields or poles. Applications are for example pre-homogenization and pupil filling in illumination systems.
Structure and optical property of large-size CaF2 single crystals grown by the CZ method
Author(s):
I. Masada;
T. Nawata;
Y. Inui;
T. Date;
T. Mabuchi;
E. Nishijima;
T. Fukuda
Show Abstract
CaF2 single crystals are required for the most suitable lens materials for the ArF laser lithography stepper because of its excellent transparency and laser durability in the DUV region. We have succeeded in growing the large size and high quality CaF2 single crystals with both <111> and <100> orientation, and the diameter of 300mm by means of the Czochralski (CZ) method. The refractive index homogeneity is one of the most important optical properties required for the lithography lens material. Particularly, the residual homogeneity which is a high-order refractive index distribution after subtraction of Zernike 36 coefficient is very important. The vein-like striations were observed in the residual homogeneity pattern of the CaF2 single crystals. The structure of CaF2 single crystal correlating with the residual homogeneity is characterized by using the reflection X-ray topography. It is observed that the structure of CaF2 single crystal composed with sub-grains parted by the small-angle tilt boundaries. The sub-grains are grown along the growth direction. It is understood that the large angle tilt type sub-boundaries among the domains composed of small sub-grains correspond to the vein-like striations. In the growth of CaF2 single crystal, the sub-grain structure of seed is introduced into grown crystal. While the bending of crystal lattice plane from the neck toward the shoulder lead to the large angle tilt type sub-boundaries. Use of high crystalline seed and control of crystal lattice plane in the shoulder are effective in the growth of high crystalline CaF2 single crystal getting good residual homogeneity.
Benchmark of numerical versus analytical proximity curve calculations
Author(s):
Roderick Köhle;
Christof Bodendorf;
Wolfgang Hoppe
Show Abstract
For the technology development of microlithography various optical simulation tools are established as a planning and development tool. Depending on the application, various numerical approximation schemes are used to tradeoff accuracy versus speed. Determining the correct numerical setting is often a tricky task as it is a compromise between these two contrary properties. In our study, we compare the numerical accuracy of two optical simulators, Solid-E as a representative for simulators for technology development and Mentor Calibre as design-for-manufacturing and optical proximity correction (OPC) tool. Calibre uses a coherent kernel approximation for performing fast simulations. As a measure for the simulation accuracy, we use the root-mean-square error criterion of a linearity curve compared to an analytical reference simulation.
Evaluation of partial coherent imaging using the transfer function in immersion lithography
Author(s):
Mi-Rim Jung;
Eun-A Kwak;
Hye-Keun Oh;
Seong-Bo Shim;
Na-Rak Choi;
Jai-Soon Kim
Show Abstract
The desired minimum feature size is decreasing for the future technology nodes. Immersion lithography has been actively pursued as a method of extending the resolution of optical lithography beyond 65 nm mode. Immersion lithography and hyper NA impact the selection and optimization of the various resolution enhancement techniques (RET). These can be selected as appropriate for each mask pattern. As the line width on target is narrower, the fine-line structure will no longer be discernible. Then this is the resolution limit of the system. Until recent times, the traditional means of determining the quality of an optical element or system of elements was to evaluate its limit of resolution. A useful parameter in evaluating the performance of a system is the modulation transfer function and this is analyzed for the hyper NA immersion lithography.
Heterogeneous diffusion model for simulation of resist process
Author(s):
Chang Moon Lim;
Jun Taek Park;
Seo Min Kim;
Hyeong Soo Kim;
Seung Chan Moon
Show Abstract
There have been imposed quite incompatible requirements on lithographic simulation tool for OPC, that is it should be enough accurate and enough fast. Though diffused aerial image model (DAIM) has achieved these goals successfully, rapid transition of lithography into very low k1 and sub-resolution regime makes it very difficult to meet these goals without loss of any of speed or accuracy. In this paper we suggested new modeling method of resist process which is called heterogeneous diffusion of aerial image. First, various examples of CD discrepancy between experiment and simulation with DAIM are suggested. Then the theoretical background of new model is explained and finally CD prediction performance of new model is demonstrated in 60nm 0.29k1 patterning of real DRAM devices. Improved CD prediction capability of new model is observed in various critical patterning of DRAM.
Simulation of mask induced polarization effect on imaging in immersion lithography
Author(s):
Eun-A Kwak;
Mi-Rim Jung;
Dai-Gyoung Kim;
Ji-Eun Lee;
Hye-Keun Oh;
Sook Lee
Show Abstract
The minimum feature size of the semiconductor device will be smaller and smaller because of the increasing demand for the high integration of the device. According to recently proposed roadmap, ArF immersion lithography will be used for 65 nm to 45 nm technology nodes. Polarization effect becomes a more important factor due to the increasing demand for high NA optical system and the use of immersion lithography. It is important to know that the polarization effect is induced by mask in small size patterning. The unpolarized plane waves leaving the illumination system are diffracted by the mask. So the light beam going through the mask will experience induced polarization by the mask. In this paper, we considered the change of polarization state as a function of mask properties. We calculated vector diffraction of 193 nm incident light. The masks considered are the chromeless mask, a binary chrome mask and 6 % attenuated phase shifting mask. We use the finite-difference time-domain method to solve the Maxwell equation. The aerial image depends on the polarization states induced by the mask properties such as materials, thickness, and pitch.
Simulation of dense contact hole (κ1=0.35) arrays with 193 nm immersion lithography
Author(s):
Alex K. Raub;
Abani M. Biswas;
Y. Borodovsky;
G. Allen;
S. R. J. Brueck
Show Abstract
The resolution limits of optical lithography are usually described by the well-known Raleigh criterion, CD = κ1 (λ/NA). One of the biggest challenges in optical lithography is to reliably print contact holes patterns with κ1 ~ 0.35 using a hyper NA system (NA > 1) especially for relatively small (m × n) arrays. Polarization effects cause deviations from a simple (λ/NA) scaling large NA values. For an isolated hole, n = 1 and for large arrays, n ⪆ 15, the spectral content is mainly contained in the lowest diffracted orders that are captured within the NA of the imaging lens. The most difficult situation is for small arrays (m, n ≈ 2, 3, 4) where the spectral features are broader more of the important image information is contained in the higher diffraction orders. The patterning of contact holes also suffers from tight dose tolerances and high mask error enhancement factors (MEEF) as both the feature and array sizes decrease. A detailed PROLITHTM vector simulation study is reported for three different approaches to printing, isolated contact holes and small to large contact hole arrays with a κ1 of 0.35 and NAs of 1.05 and 1.3: 1) imaging interferometric lithography (IIL, with a single mask and multiple exposures incorporating pupil plane filters), 2) two-exposure dipole illumination, and 3) alternating phase shift masks (alt-PSM). Only the IIL scheme is capable of printing smaller (m, n ≤ 10) at this low κ1 factor. Single exposure alt-PSM does not allow for the necessary polarization control. Periodic assist features provide improved resolution, depth of focus and MEEF, at the expense of a more complex mask and additional nonprinting area surrounding the contact holes.
How to obtain accurate resist simulations in very low-k1 era
Author(s):
Tsann-Bim Chiou;
Chan-Ha Park;
Jae-Seung Choi;
Young-Hong Min;
Steve Hansen;
Shih-En Tseng;
Alek C. Chen;
Donggyu Yim
Show Abstract
A procedure for calibrating a resist model iteratively adjusts appropriate parameters until the simulations of the model match the experimental data. The tunable parameters may include the shape of the illuminator, the geometry and transmittance/phase of the mask, light source and scanner-related parameters that affect imaging quality, resist process control and most importantly the physical/chemical factors in the resist model. The resist model can be accurately calibrated by measuring critical dimensions (CD) of a focus-exposure matrix (FEM) and the technique has been demonstrated to be very successful in predicting lithographic performance. However, resist model calibration is more challenging in the low k1 (<0.3) regime because numerous uncertainties, such as mask and resist CD metrology errors, are becoming too large to be ignored. This study demonstrates a resist model calibration procedure for a 0.29 k1 process using a 6% halftone mask containing 2D brickwall patterns. The influence of different scanning electron microscopes (SEM) and their wafer metrology signal analysis algorithms on the accuracy of the resist model is evaluated. As an example of the metrology issue of the resist pattern, the treatment of a sidewall angle is demonstrated for the resist line ends where the contrast is relatively low. Additionally, the mask optical proximity correction (OPC) and corner rounding are considered in the calibration procedure that is based on captured SEM images. Accordingly, the average root-mean-square (RMS) error, which is the difference between simulated and experimental CDs, can be improved by considering the metrological issues. Moreover, a weighting method and a measured CD tolerance are proposed to handle the different CD variations of the various edge points of the wafer resist pattern. After the weighting method is implemented and the CD selection criteria applied, the RMS error can be further suppressed. Therefore, the resist CD and process window can be confidently evaluated using the accurately calibrated resist model. One of the examples simulates the sensitivity of the mask pattern error, which is helpful to specify the mask CD control.
Intensive 2D SEM model calibration for 45nm and beyond
Author(s):
George E. Bailey;
Thuy Do;
Yuri Granik;
Ir Kusnadi;
Andrew Estroff
Show Abstract
Conventional site-base model calibration approaches have worked fine from the 180nm down to the 65nm technology nodes, but with the first 45nm technology nodes rapidly approaching, site-based model calibration techniques may not capture the details contained in these 2D-intensive designs. Due to the compaction of designs, we have slowly progressed from 1D-intensive gates, which were site-based friendly, to very complex and sometimes ornate 2D-gate regions. To compound the problem, these 2D-intensive gate regions are difficult to measure resulting in metrology-induced error when attempting to add these regions to the model calibration data. To achieve the sub-nanometer model accuracy required at this node, a model calibration technique must be able to capture the curvature induced by the process and the design in these gate regions. A new approach in model calibration had been developed in which images from a scanning electron microscope (SEM) are used together with the conventional site-base to calibrate models instead of the traditional single critical dimension (CD) approach. The advantage with the SEM-image model calibration technique is that every pixel in the SEM image contributes as CD information improving model robustness. Now the ornate gate regions could be utilized as calibration features allowing the acquisition of fine curvature in the design.
This paper documents the issues of the site-base model calibration technique at the 45nm technology node and beyond. It also demonstrates the improvement in model accuracy for critical gate regions over the traditional modeling technique, and it shows the best know methods to achieve the utmost accuracy. Lastly, this paper shows how SEM-based modeling quantifies modeling error in these complex 2D regions.
Methods for benchmarking photolithography simulators: part IV
Author(s):
Trey Graves;
Mark D. Smith;
Chris A. Mack
Show Abstract
In a previous series of papers, we proposed benchmarks for lithography simulators drawn from the optics literature for aerial image, optical film-stack calculations, and mask topography effects. We extend this work and present benchmarks for PEB and resist development. These benchmarks can easily be applied to any lithography simulator that models these lithographic effects.
The capability of a 1.3-NA μstepper using 3D EMF mask simulations
Author(s):
Will Conley;
Jeff Meute;
James Webb;
Douglas Goodman;
Robert Maier
Show Abstract
Lithographic methods of imaging in resist can be extended with the addition of immersion fluid.
The higher index of refraction fluid can be used to print smaller features by increasing the numerical
aperture beyond the limits of dry lithography. Alternately, an immersion optical system can achieve
a larger depth of focus at the same numerical aperture as the equivalent dry lithography system.
When numerical apertures are significantly greater than 1.0, polarization effects start to impact
resolution seriously. Special illumination conditions will be used to extend resolution limits.
Additional factors that affect imaging in resist need to be included if we are to achieve new
resolution limits using high index of refraction materials to increase numerical apertures. In addition
to material inhomogeneities, birefringence and optical surface effects, material absorption, coatings
and index differences at boundaries will have a larger impact on image resolution as ray angles in
the imaging system continue to increase with numerical aperture. Aerial and resist imaging effects that material characteristics have on polarization, uniformity
and aberrations in the lens pupil will be studied.
Experimental measurement of photoresist modulation curves
Author(s):
Anatoly Bourov;
Stewart A. Robertson;
Bruce W. Smith;
Michael Slocum;
Emil C. Piscani
Show Abstract
An approach to measurement of resist CD response to image modulation and dose is presented. An empirical model with just three terms is used to describe this response, allowing for direct calculation of photoresist modulation curves. A thresholded latent image response model has been tested to describe CD response for both 90 nm and 45 nm geometry. An assumption of a linear optical image to photoresist latent image correlation is shown as adequate for the 90 nm case, while the 45 nm case demonstrates significant non-linear behavior. This failure indicates the inadequacy of a "resist blur" as a complete descriptive function and uncovers the need for an additional spread function in OPE-style resist models.
Phase-shift focus monitoring techniques
Author(s):
Matthew McQuillan;
Bill Roberts
Show Abstract
Depth of focus (DOF) has become a victim of its mathematical relationship with Numerical Aperture (NA). While NA is being increased towards one to maximize scanner resolution capabilities, DOF is being minimized because of its inverse relationship with NA. Moore's law continues to drive the semiconductor industry towards smaller and smaller devices the need for high NA to resolve these shrinking devices will continue to consume the usable depth of focus (UDOF). Due to the shrinking UDOF a demand has been created for a feature or technology that will give engineers the capability to monitor scanner focus. Developing and implementation of various focus monitoring techniques have been used to prevent undetected tool focus excursions. Two overlay techniques to monitor ArF Scanner focus have been evaluated; our evaluation results will be presented here.
Study of polarization aberration measurement using SPIN method
Author(s):
Yoshihiro Shiode;
Takeaki Ebiahara
Show Abstract
High-NA and immersion projection systems require RETs (Resolution Enhancement Techniques) that utilize polarized illumination. Therefore measuring aberrations that are dependent on illumination polarization (polarization aberration) also becomes important. Generally, metrology for polarization aberration measurement consists of polarizer, resulting in a large-scale apparatus and rising cost. Therefore, a simple and accurate metrology method is desired, one that can be easily installed then removed after testing. We have investigated a simple and accurate metrology method for polarization aberration measurement using Canon SPIN. Through this work, we developed a new theory, entitled BLP (Birefringence measurement by Linear Polarization of light), to characterize birefringence of the lens by rotating linear polarization illumination. One of the merits of BLP is its applicability to most of the conventional metrologies for lens aberration measurement. In this paper, we have used the SPIN method for BLP evaluation. We confirmed the accuracy of BLP by achieving 1.0 correlation coefficient with Jones theory for Retardance and Fast-Axis of birefringence. We also evaluated the validity of Pseudo-Jones-Pupil (PJP), which was generated from SPIN-BLP analysis, for imaging performance simulation. This resulted in identical imaging performance with the original Jones pupil for resolution and LRCD. As a polarization aberration monitor, SPIN can be used for qualification, periodic monitoring and evaluation of image performance in the field. Another advantage of SPIN is its portability. Therefore we also consider usage of SPIN as a machine-to-machine calibration tool.
Effect of lens aberrations on OPC model accuracy for low k1 lithography process
Author(s):
Jun-Kyu Ahn;
Chang-Young Jeong;
Jeong-Lyeol Park;
Jae-Sung Choi;
Jeong-Gun Lee
Show Abstract
As reduction of k1 factor continues, it becomes more extensive to apply resolution enhancement techniques (RETs) such as phase shift mask (PSM), optical proximity correction (OPC) and off axis illumination (OAI). OPC has been playing a key role to control of pattern printing accuracy and maximize the overlapping process window especially for logic devices. However, RETs, including OPC, tend to increase the sensitivity of printed images to the projection lens aberrations. In order to improve the pattern uniformities and image qualities, lens aberration should be considered as one of the most important factor to OPC modeling. In this paper, we investigated the impact of lens aberration on data set for OPC model generation. The data of projection lens aberrations on exposure tools were extracted by LITEL In-situ Interferometer (ISI) and the sensitivity of CD variation with each lens aberration was simulated by SIGMA-C Solid-E Simulator. Among the lens aberrations, the significant error sources contributing to setting a limit to use one general OPC model with multiple exposure tools were analyzed. Also, the lens aberration specification to use one general OPC model was proposed. By considering the effect and specification of lens aberrations, further improvement of the OPC model accuracy and prevention of device yield loss originated from lens aberrations are expected.
Fractal model applied wavefront aberration for the expression of local flare
Author(s):
Toshiharu Nakashima;
Taro Ogata
Show Abstract
Various inputs representing exposure tool will be required for the advanced OPC in the future. Among them, local flare is difficult to account in OPC because of difficulty to make accurate model it and incorporate it in imaging simulations. In this paper we introduce a method to input the local flare in the simulations. It applies fractal model to the PSD of wavefront aberration, and it generates a model wavefront expressing local flare. This model wavefront is consistent with the disappearing pad experiments. The impact of local flare on the OPC is estimated by the imaging simulation involving the generated model wavefront.
Analysis of the combined impact of the laser spectrum, illuminator miscalibrations, and lens aberrations on the 90nm technology node imaging with off-axis illuminations
Author(s):
Sara Loi;
Umberto Iessi;
Robert Chung
Show Abstract
To meet lithographic requirements for the 100 nm generation, the influence of laser spectrum bandwidth, illuminator miscalibrations, and lens aberrations on printing of specific patterns by specific customized illuminations has to be investigated. The contribution to critical dimension (CD) dispersion and pattern shift due to lens aberrations of an exposure tool has become an important issue in the production of semiconductor devices. Current 90nm NVM design introduces critical points from the imaging point of view in many layers such as active, poly, contacts and first metallization. The selection of strong off axis illumination shapes and phase shift masks to enhance lithographic resolution and improve process window of such a critical layers may result in a huge impact of lens aberrations. Lens aberrations have a specific impact on the defined layout of the lithographic layer to be printed. An effect similar to the impact of lens aberration can also be induced by illuminator miscalibration and can be enhanced depending on the characteristics of the laser spectrum. The goal of this work is to analyse, for a critical pattern, the contribution to CD dispersion and distortion arising from combined effects of illuminator miscalibration and lens aberrations, depending on laser spectrum characteristics. For this purpose the real pupil shapes through the exposure field and the lens aberrations have been characterized by Litel Instruments HA-SMI and HA-ISI source and aberrations measuring tools to allow reliable optical simulations. Therefore a comparison between simulations and experimental results will be reported for imaging of an NVM critical pattern.
Flare effect of different shape of illumination apertures in 193-nm optical lithography system
Author(s):
Young-Je Yun;
Ju-Hyung Moon;
Haeng-Leem Jeon;
Jea-Hee Kim;
Keeho Kim
Show Abstract
Flare has been important variable to obtain good CD control in the resolution limited lithography area such as sub-90 nm node. So far, many papers have been reported about how to measure flare and how flare impact on CD control. And some papers have tried to understand theoretical mechanism of flare. However, we expect that the illumination apertures such as the partial coherence factors or the modified illumination aperture shapes would also give impact on the flare. The short-range flare is changing as the open ratio variation on the mask. We assume that the illumination aperture shape change will also give similar effect as the open ratio variation on the mask. In this paper, we will show how the illumination aperture shapes give effect on short-range flare. Experiments were done for 100 nm lines surrounded by clear window having different width from 1 μm to 20 μm. We utilized the 193 nm scan-and-step exposure tool with the partially coherent conventional and off-axis illuminations apertures. In conclusion, we will prove the relationship between flare and illumination apertures.
Intelligent model-based OPC
Author(s):
W.C. Huang;
C.M. Lai;
B. Luo;
C.K. Tsai;
M.H. Chih;
C.W. Lai;
C.C. Kuo;
R.G. Liu;
H.T. Lin
Show Abstract
Optical proximity correction is the technique of pre-distorting mask layouts so that the printed patterns are as close to the desired shapes as possible. For model-based optical proximity correction, a lithographic model to predict the edge position (contour) of patterns on the wafer after lithographic processing is needed. Generally, segmentation of edges is performed prior to the correction. Pattern edges are dissected into several small segments with corresponding target points. During the correction, the edges are moved back and forth from the initial drawn position, assisted by the lithographic model, to finally settle on the proper positions. When the correction converges, the intensity predicted by the model in every target points hits the model-specific threshold value. Several iterations are required to achieve the convergence and the computation time increases with the increase of the required iterations. An artificial neural network is an information-processing paradigm inspired by biological nervous systems, such as how the brain processes information. It is composed of a large number of highly interconnected processing elements (neurons) working in unison to solve specific problems. A neural network can be a powerful data-modeling tool that is able to capture and represent complex input/output relationships. The network can accurately predict the behavior of a system via the learning procedure. A radial basis function network, a variant of artificial neural network, is an efficient function approximator. In this paper, a radial basis function network was used to build a mapping from the segment characteristics to the edge shift from the drawn position. This network can provide a good initial guess for each segment that OPC has carried out. The good initial guess reduces the required iterations. Consequently, cycle time can be shortened effectively. The optimization of the radial basis function network for this system was practiced by genetic algorithm, which is an artificially intelligent optimization method with a high probability to obtain global optimization. From preliminary results, the required iterations were reduced from 5 to 2 for a simple dumbbell-shape layout.
Maximizing test pattern coverage for OPC model build
Author(s):
Andrew Khoh;
Shyue-Fong Quek;
Yee-Mei Foong;
Jacky Cheng;
Byoung-Il Choi
Show Abstract
OPC model stability is important at low k-1. Unstable OPC model leads to catastrophic OPC failures. For parametric OPC models, one of the major contributions to model instability is inadequate test pattern coverage over the parameter space where actual product designs reside. In this paper, we present a systematic approach to maximizing the coverage of existing test patterns. In this approach, the entire space over which all pattern variants reside is first approximated by varying the pattern dimensions in simple patterns. We call the generated parameter spaces reference domains. Next, regions in the parameter space that are sparsely covered are determined by overlaying parameter data points corresponding to existing test patterns over the reference domains. Systematically analyzing the characteristics of the reference domains, the required test patterns to maximize test pattern coverage can be inferred. Test pattern coverage is hence maximized. In this study, a parametric model with three parameters is considered.
A novel approach for full-chip SRAF printability check
Author(s):
Chi-Yuan Hung;
Liguo Zhang;
Qingwei Liu
Show Abstract
With the critical dimension of IC design decreases dramatically, to meet the yield target of the manufacture process, resolution enhancement technologies become extremely important nowadays. For 90nm technology node and below, sub rule assistant feature (SRAF) are usually employed to enhance the robustness of the micro lithography process. SRAF is really a powerful methodology to push the process limit for given equipment conditions. However, there is also a drawback of the SRAF. It is very hard to predict the printability of the SRAFs, especially when SRAF is applied on full chips. This work is trying to demonstrate a new approach to check the printability of the SRAF on full-chip level. First, we try to capture the lithography process information through real empirical wafer data. Then we try to determine the margin of the conditions for which SRAFs can be printed out on the wafer. Based on all the information, we can then apply full chip optical rule check (ORC) to check the printability of SRAF. By this approach, the printout risk of the SRAF can be reduced effectively with acceptable time consuming.
A methodology to take LER effect into OPC modeling algorithm
Author(s):
Chi-Yuan Hung;
Qingwei Liu;
Zexi Deng;
Liguo Zhang
Show Abstract
Model-Based OPC has become a standard practice and centerpiece for 130nm technology node and below. And every model builder is trying to setup a physically realistic model that is adequately calibrated contains the information which can be used for process predictions and analysis of a given process. But there still are some unknown/not-well-understood physics in the process such as line edge roughness (LER). The LER is one of the most worrisome non-tool-related obstacles faced by next-generation lithography. Nowadays, considerable effort is devoted to moderating its effects, as well as understanding its impact on devices. It is a persistent problem for 193 nm micro-lithography and will carry us for at least three generations, culminating with immersion lithography. Some studies showed LER has several sources and forms. It can be quantified by an LER measurement with a top-down CD measurement. However, there are other ways in which LER shows up, such as line breakage results from insufficient resist or mask patterning processes, line-width aspect ratio or just topography. Here we collected huge amount of line-width ADI CD datasets together with LER for each edge. And try to show even using the average value of different datasets will take the inaccuracy of measurement into the modeling fitting process, which makes the fitting process more time consuming and might cause losing convergence and stableness. This work is to weight different wafer data points with a weighting function. The weighting function is dependent on the LER value for each One-dimension feature in the sampling space of the modeling fitting. By this approach, we can filter wrong information of the process and make the OPC model more accurate. Further more, we will introduce this factor (LER) into variable threshold modeling parameters and see its differentiations between other Variable Threshold model forms.
Line end optimization through optical proximity correction (OPC): a case study
Author(s):
Dyiann Chou;
Ken McAllister
Show Abstract
Device performance is highly associated with the line end performance of critical layers. Poly line end shortening (LES) or bridging can result in leakage or short circuit. Model-based optical proximity correction (OPC) prioritized to fit one-dimensional pitch structures can also improve two-dimensional line end performance. However, it may still fail without meeting the line end bridging margin or minimum line end length requirements. A leakage problem has been observed, when poly gate line end shortening occurs, following the use of an OPC recipe chosen to be a compromise for the line end bridging problem. In this paper, several approaches related to OPC are studied on poly layer, in terms of line end bridging margin and line end shortening, to optimize line end performance. The OPC minimum external constraint is optimized to meet both line end bridging and shortening requirements. Serif type line end provides the OPC model with more flexibility to pull back the center segment between line end serifs and improves the bridging margin by 2%, with negligible sacrifice on line end length under overexposure conditions. No effect is seen on the bridging margin with different segment lengths of center pull back at the serif line end. Bridging margin can be improved dramatically (6%) by adding SRAF, due to the increase of aerial image intensity in the line end space. Finally, the OPC model fitting for line end shortening is briefly described and a post-correction rule-based OPC is introduced to improve the line end shortening. Handcrafted OPC is also used for this case study for few structures that need extra correction to achieve enough line end length.
Efficient OPC model generation and verification for focus variation
Author(s):
Yong-Hee Park;
Yong-Chan Ban;
Duck-Hyung Hur;
Dong-Hyun Kim;
Ji-Suk Hong;
Moon-Hyun Yoo;
Jeong-Taek Kong
Show Abstract
As the k1 factor of lithography process goes lower, model-based optical proximity correction (OPC) has become the most important step of post-tape-out data preparation for critical mask levels. To apply model-based OPC, a lithographic model with optical and resist parameters usually generated by a regression is required. It takes significant turn-around-time (TAT) to obtain the OPC model, normally more than 1 day per mask level. In this paper, we present an automatic and effective OPC model extraction method using the adaptive simulated annealing (ASA) algorithm. By applying this algorithm to extract the optimal model parameter values, we reduced the model parameter fitting time to less than 1 hour. We confirm the reliability and accuracy of the model generated by this method. With this newly developed automatic modeling method, we present a methodology to detect the critical failure on the wafer effectively that can occur by the focus variation during the lithography process. Generally, we sample only one set of measurement CD data taken under a controlled process condition with the best focus. Based on measurement data at the best focus, the in-house lithography simulator, FAITHTM, can generate simulated CD data for the multiple defocus levels without measurement data at the variable defocus levels. The multiple defocus models are built based on the simulated CD data and the automatic OPC modeling method makes the model buildings very fast. Finally, through the simulation of OPC result according to the multiple defocus models, we can verify or forecast the defocus effect before realistic patterning on wafers efficiently. We show the capability of weak point detection by this methodology on the 80nm DRAM devices with ArF photolithography.
Model-based OPC for node random size contact hole with SRAF
Author(s):
C. W. Huang;
Y. Y. Chang;
L. S. Yeh;
H. Y. Liao;
C. L. Shih;
J. P. Lin
Show Abstract
One of the most crucial tasks for under 90nm IC is the small contact holes. Traditional model-based OPC is not effective and
doesn't cover the process window regarding side-lobe at all. Although there are some novel approaches which have shown good
performances, most of them focus on single size contact hole. Actually, there are much more challenges and difficulties to be
implanted them on random size contact holes which have various hole sizes in such high 2-D MEEF condition. In consideration of
manufacturability, the combination of off-axis, high NA and sub resolution assist features (SRAFs) is still the better candidate to
improve process window of contact hole at 65nm generation. But, even that, the implementation of OPC of this combination still
needs new concepts and methodologies involved. The reason is that both of random sizes and arbitrary locations really create a lot of
difficulties coming from the conflictive placement of SRAFs and side-lobe for various hole sizes and pitches. Moreover, process
window of various pitches are strongly affected by SRAFs rules and side-lobe. Therefore, in order to build a more complete OPC
model, the OPC model needs special treatment and procedure together with the consideration of design rule and hybrid OPC
handling. This study will depict the whole concept and design avoiding the blocks of model-based OPC treatment and build a
working flow for OPC SRAF adding.
Using reconfigurable OPC to improve quality and throughput of sub-100nm IC manufacturing
Author(s):
Richard D. Morse;
Pat LoPresti;
Kevin Corbett
Show Abstract
In this paper we propose an alternative sub-100nm manufacturing flow using reconfigurable OPC technology. In this flow, an initial full-chip OPC is performed similar to the conventional approach. But when errors are found during verification - which can include checks across the process window - we optimize the OPC results locally with reconfigurable OPC technology without disturbing the OPC results elsewhere in the design. Creating optimized local solutions for LRC errors offers a more manufacturable solution, and it virtually guarantees rapid and correct OPC convergence. These chip-specific solutions can then be retained for future runs and the results analyzed for inclusion in the global or "master" recipe.
Application of CM0 resist model to OPC and verification
Author(s):
Yuri Granik;
Nick Cobb;
Dmitry Medvedev
Show Abstract
We present calibration results for physically-based Compact Model Zero (CM0) used in OPC and OPC Verifications. The model sequentially solves equations for the exposure, baking, and development using 2D meshes and contour movements. CM0 can be used to explore next generation OPC techniques before CD calibration measurements are available, as well as an alternative to empirical compact models in routine OPC flows. Linearization of CM0 suggests modeling forms that are used in the CM1 model. CM1 is easier to calibrate because it is linear by the modeling coefficients and also includes modeling terms to account for long range loading effects.
Verifying high NA polarization OPC treatment on wafer
Author(s):
Ralph E. Schlief;
Mario Hennig;
Rainer Pforr;
Jörg Thiele;
Max Hoepfl
Show Abstract
High NA scanners with adjustable polarization are becoming commercially available. Linear polarization has been shown to significantly improve imaging performance of preferentially oriented lines. Azimuthal and tangential polarization are now becoming commercially available. The latter has less asymmetry in its imaging and can resolve critical features oriented in multiple directions at the same time. Linear y-oriented or vertical polarization was used, since at the time of this work, azimuthal and tangential polarization were not available. Such x- and y-oriented linear polarization could be used in double exposure imaging, for example. Just as for unpolarized imaging, OPC models are required for polarized imaging that are accurate in (a) fitting and predicting experimental CD values, (b) fragmenting layout, and (c) correcting the fragmented layout to target. This paper describes the results of such a first OPC verification loop. Experimental proximity data in X- and Y-orientation were measured. Source polarization and wafer stack thin film effects were included in the empirically fit OPC simulation model. A parallel investigation was undertaken using an unpolarized source. It served as the reference case. Simple test patterns as well product-like 2D layout was treated with the vertically polarized and unpolarized OPC models. A test mask was written and wafer printing results obtained. They demonstrated the validity of the approach and pointed to further OPC model improvements.
Improvements in post-OPC data constraints for enhanced process corrections
Author(s):
Ryan L. Burns;
Yuping Cui;
Zengqin Zhao;
Ian Stobert;
Pat LaCour;
Ayman Yehia;
Kareem Madkour;
Mohamed Gheith;
Ahmed Seoud
Show Abstract
Current state-of-the-art OPC (optical proximity correction) for 2-dimensional features consists of optimized
fragmentation followed by site simulation and subsequent iterations to adjust fragment locations and
minimize edge placement error (EPE). Internal and external constraints have historically been available in
production quality code to limit the movement of certain fragments, and this provides additional control for
OPC. Values for these constraints are left to engineering judgment, and can be based on lithography
process limitations, mask house process limitations, or mask house inspection limitations. Often times
mask house inspection limitations are used to define these constraints. However, these inspection
restrictions are generally more complex than the 2 degrees of freedom provided in existing standard OPC
software. Ideally, the most accurate and robust OPC software would match the movement constraints to
the defect inspection requirements, as this prevents over-constraining the OPC solution.
This work demonstrates significantly improved 2-D OPC correction results based on matching movement
constraints to inspection limitations. Improvements are demonstrated on a created array of 2D designs as
well as critical level chip designs used in 45nm technology. Enhancements to OPC efficacy are proven for
several types of features. Improvements in overall EPE (edge placement error) are demonstrated for
several different types of structures, including mushroom type landing pads, iso crosses, and H-bar
structures. Reductions in corner rounding are evident for several 2-dimensional structures, and are shown
with dense print image simulations. Dense arrays (SRAM) processed with the new constraints receive
better overall corrections and convergence. Furthermore, OPC and ORC (optical rules checking)
simulations on full chip test sites with the advanced constraints have resulted in tighter EPE distributions,
and overall improved printing to target.
OPC and PSM design using inverse lithography: a nonlinear optimization approach
Author(s):
Amyn Poonawala;
Peyman Milanfar
Show Abstract
We propose a novel method for the fast synthesis of low complexity model-based optical proximity correction (OPC) and phase shift masks (PSM) to improve the resolution and pattern fidelity of optical microlithography. We use the pixel-based mask representation, a continuous function formulation, and gradient based iterative optimization techniques to solve the above inverse problem. The continuous function formulation allows analytic calculation of the gradient. Pixel-based parametrization provides tremendous liberty in terms of the features possible in the synthesized masks, but also suffers the inherent disadvantage that the masks are very complex and difficult to manufacture. We therefore introduce the regularization framework; a useful tool which provides the flexibility to promote certain desirable properties in the solution. We employ the above framework to ensure that the estimated masks have only two or three (allowable) transmission values and are also comparatively simple and easy to manufacture. The results demonstrate that we are able to bring the CD on target using OPC masks. Furthermore, we were also able to boost the contrast of the aerial image using attenuated, strong, and 100% transmission phase shift masks. Our algorithm automatically (and optimally) adds assist-bars, dog-ears, serifs, anti-serifs, and other custom structures best suited for printing the desired pattern.
Integration of the retical systematic CD errors into an OPC modeling and correction
Author(s):
Geng Han;
Scott Mansfield;
Azalia Krasnoperova
Show Abstract
The impact of mask CD non-uniformity on the accuracy of optical proximity correction (OPC) models has been
observed on several critical levels. In the current OPC model calibration flow, the mask effect is not explicitly separated
from the optical and resist models. Instead, the resist model is compensating for the mask errors. In this paper, we report
a detailed study of the effect of mask CD non-uniformity on OPC model accuracy using the established OPC model
calibration flow. The influence of mask CD non-uniformity on the through process behavior of an OPC model is also
discussed. A possible OPC flow to take the systematic mask CD error into consideration is proposed and a detailed
study of mask modeling is present.
Simulation based post OPC verification to enhance process window, critical failure analysis, and yield
Author(s):
Jae-Hyun Kang;
Jae-Young Choi;
Kyung-Hee Yun;
Munho Do;
Yong-Suk Lee;
Keeho Kim
Show Abstract
Optical Proximity Correction (OPC) often reaches its limitation, especially low-k imaging. It results in yield drop by bridging, pinching, and other process window sensitive issues. It happens more when the original layout contains OPC-unfriendly patterns. With OPC-unfriendly layout, OPC model generates totally unexpected results such as narrow space, small jog, small serif and etc. Those unexpected OPC results induce bridged patterns as well as narrow process margin. And they will give direct yield loss of device.
Thus, it is critical to implement the flow for Litho Friendly Design (LFD) and nevertheless simulation-based OPC verification. In this study, a new approach of OPC has been tested, which contains the simulation based analysis of OPC failure and in turn out reconstruct OPC features in a way to fix not only bridging and pinching but also to improve process window. This proves to reduce mask respin by 50% or more. It also has been tried to be a complementary checking in addition to conventional CD monitor in pilot production.
Mask process variation induced OPC accuracy in sub-90nm technology node
Author(s):
Se-Jin Park;
Yeon-Ah Shim;
Jae-Hyun Kang;
Jae-Young Choi;
Kyung-Hee Yoon;
Yong-Suk Lee;
Keeho Kim
Show Abstract
Since an OPC engine makes model to fit wafer printed CD of OPC test mask to simulation CD of test pattern layout, the target CD of OPCed mask is not design CD but the CD of OPC test mask. So, the CD difference between OPC test mask and OPCed mask is one of the most important error source of OPC. We experimentally obtained OPC CD error of several patterns such as iso line, iso space, dense line, line end, effected by the mask MTT (mean to target) difference of the two masks on of 90nm logic pattern with an ArF attenuated mask having designed different MTT. The error is compared to simulated data that is calculated with MEEF (mask error enhancement factor) and EL (exposure latitude) data of these patterns. The good agreement of the experimental and calculated OPC error effected mask MTT error can make OPC error are predicted by mask CD error. Using by these calculation, we made mask CD window to meet OPC spec for 90nm ArF process.
Process window OPC for reduced process variability and enhanced yield
Author(s):
Azalia Krasnoperova;
James A. Culp;
Ioana Graur;
Scott Mansfield;
Mohamed Al-Imam;
Hesham Maaty
Show Abstract
As the industry moves toward 45nm technology node and beyond, further reduction of lithographic process window is anticipated. The consequence of this is twofold: first, the manufactured chip will have pattern sizes that are different from the designed pattern sizes and those variations may become more dominated by systematic components as the process windows shrink; second, smaller process windows will lead to yield loss as, at small dimensions, lithographic process windows are often constrained by catastrophic fails such as resist collapse or trench scumming, rather than by gradual pattern size variation. With this notion, Optical Proximity Correction (OPC) for future technology generations must evolve from the current single process point OPC to algorithms that provide an OPC solution optimized for process variability and yield. In this paper, a Process Window OPC (PWOPC) concept is discussed, along with its place in the design-to-manufacturing flow. Use of additional models for process corners, integration of process fails and algorithm optimization for a production-worthy flow are described. Results are presented for 65nm metal levels.
Layout 'hot spots' for advancing optical technologies
Author(s):
Juliet Holwill;
Gregory McIntyre;
Wojtek Poppe;
Andrew R. Neureuther
Show Abstract
A pattern matching technique for quickly scanning layouts to find 'worst case' printing problems has been extended to and tested for accuracy on a progressive sequence of advances in optical lithography, including off-axis illumination, attenuated masks, optical-proximity correction and double exposure treatments. These extensions required including phase-variations from off-axis sources with the usual method for production of Maximum Lateral Test Patterns, and utilizing a composite match factor computer from McIntyre et al. to give a vulnerability score. Direct aerial image simulation of the projection printing of the local pattern shows that the basic trends are correctly extracted at high-speed with pattern matching. Pattern matching is found to be a useful tool under these technologies for prescreening layouts to find the most sensitive areas to residual effects, and also for quick comparison of worst case issues among different lithography treatments.
Building a computational model for process and proximity compensation
Author(s):
Gökhan Perçin;
Hsu-Ting Huang;
Franz X. Zach;
Apo Sezginer;
Ali Mokhberi
Show Abstract
Computational models used in process proximity correction require accurate description of lithography and etch processes. We present inversion of stepper and photoresist parameters from printed test structures. The technique is based on printing a set of test structures at different dose and defocus settings, and processing the CD-SEM measurements of the printed test structures. The model of image formation includes: an arbitrary pupil illumination profile, defocus bias, flare, chromatic aberrations, wavefront errors and apodization of the lens pupil; interaction of vector EM waves with the stack of materials on the wafer; and molecular diffusion in photoresist. The inversion is done by minimizing a norm of the differences between CDs calculated by the model and CD-SEM measurements. The corresponding non-linear least square problem is solved using Gauss-Newton and Levenberg-Marquardt algorithms. Differences between the CD measurements and the best fitting model have an RMS error of 1.63 nm. An etch model, separate from the lithography model, is fitted to measurements of etch skew.
Enhancing DRAM printing process window by using inverse lithography technology (ILT)
Author(s):
Chih-Wei Chu;
Becky Tsao;
Karl Chiou;
Snow Lee;
Jerry Huang;
Yong Liu;
Timothy Lin;
Andrew Moore;
Linyong Pang
Show Abstract
Inverse lithography technology (ILT) was studied during process development for four layers from memory semiconductor designs. This paper describes techniques used in each of the layers. So as to demonstrate this technology in a wide range of semiconductor patterns, we show results from all four layers. Polysilicon was chosen to demonstrate the selection of exposure/defocus (ED) points for constraining the inversion. Marking process window boundaries during a mask creation run was demonstrated on a contact hole layer. With a deep trench layer, mask constraints were varied and write times studied. Lastly, wafer SEM images were collected for an active layer to explore image fidelity though focus and CD stability along a line.
A systematic study of the dip in the CD through-pitch curve for low-k1 processes
Author(s):
Jun Zhu;
Peng Wu;
Yuntao Jiang;
Qiang Wu
Show Abstract
Optical proximity correction has been widely used to correct line width variation in various different environments.
The most important correction will be the CD through-pitch variation. For deep-UV (DUV) photo processes, it is
observed that the CD will have a reduced trend at certain intermediate pitch range around 1.1 to 1.4 wavelength /
NA (numerical aperture), also called "forbidden pitch". The process windows within this pitch range are small. In
this case, even though we can use OPC to print the CD correctly, the process window can still be limited, which can
generate a bottleneck for the entire process. In order to make OPC more effective, we find it necessary to be able to
design an optimized process with enough process windows for all pitches. Although this may mean that we need to
map out the entire parameter space spanned by relatively unknown parameters in resist, exposure tool quality, mask
tolerance, etc, recent developments in the understanding of the effect of illumination selection, scanner lens
aberration, and resist blur have provided us with new hints in realizing it. Such new developments include the
optimization of off-axis illumination (OAI) condition, the characterization of the effect of lens aberration, and the
selection of resists with appropriate effective acid diffusion length. We have studied the effect of illumination, lens
aberration, and resist diffusion to the CD and process window at the above described intermediate pitch range both
in theory and experiment. We have found that the effective resist diffusion, whose range is from 10nm to 50nm, can
affect the process window at the intermediate pitch range, to as much as a few tens of nanometers. We will show
that, in general, longer diffusion correlates to a deeper "dip". However, according to the experience in the use of
photo resists, short diffusion length can also affect process window through the reduction of depth of focus.
Therefore it is important to find an optimized resist diffusion length under various ground-rule and illumination
conditions. But there has been no studies reported so far as to how much diffusion that can be tolerated for a given
process at the intermediate pitch range. We have also performed experiments on the effect of the scanner lens
aberration, we found that the lens aberration, which may be largely ignored in the past, may affect the process
performance, causing mask error factor to rise significantly. In this paper, we will present the result of our
experiments and theoretical investigations in how much resist diffusion and lens wave front error that can be
tolerated for a given photolithographic process with certain CD tolerance. Insight will be provided for the choice of
illumination conditions, resolution enhancement techniques, and the resist in realizing the best CD through-pitch
performance under any given ground-rule condition.
Dual antireflection layers for ARC/hard-mask applications
Author(s):
Victor Huang;
T.S. Wu;
Mars Yang;
Francis Lin;
Elvis Yang;
T.H. Yang;
K.C. Chen;
Joseph Ku;
C.Y. Lu
Show Abstract
As the semiconductor feature size continues to shrink, the high NA lithography has become a reality. Coupling with high NA lithography, both the critical dimension control and the insufficient resist thickness for etch mask are becoming major challenges for lithographers. Hence two things are highly desired, one is an effective anti-reflective coating (ARC) strategy to maintain low reflectance for good critical dimension (CD) uniformity (CDU) control, and the other is combined ARC and hard-mask concept to satisfy both lithography and etch performance needs for feature patterning. In this study, a dual dielectric anti-reflective coating (dual-DARC) was first demonstrated as an effective ARC for contact application with high NA lithography. The ordinary single DARC is very sensitive to the thickness variation of underlying films, resulting in a >45nm contact CD variation at interlayer dielectric (ILD) thickness variation of ±150nm induced by CMP process. Unlike the single DARC, the dual-DARC performs a less CD variation of ~5nm at the same film thickness variation. By extending the dual-DARC concept to combined ARC/hard-mask application to contact and poly patterning, several ARC/hard-mask schemes were compared by reflectance control, CD uniformity control and etch hard-mask performance. Apart from the good reflectance and CD uniformity control of dual-DARC-like schemes, the most attractive is that the proper use of dual-DARC concept to hard-mask application, the tight thickness control is not necessary for the bottom layer and you can just tailor the bottom layer's thickness to meet the individual process needs.
Application of aberration optimization for specific pattern using Nikon's TAO method
Author(s):
Winfried Meier;
Gabriele Weirauch;
Max Hoepfl;
Andreas Jahnke
Show Abstract
A layer specific aberration control and optimization method is introduced with some field examples. For the first time lens tuning to application specific and product type mask features will be demonstrated. The adjusted lens set-up can be selected within the scanners process program, i.e. the exposure recipe, thus facilitating utmost flexibility. The application is using Nikon's TAO (Technology for Aberration Optimization) software method for specific pattern. Simulated imaging performance data using the Zernike sensitivity method is used as input. The optimization result is used for direct scanner lens element position change to reach a pre-calculated, well defined new wavefront signature. The new lens element position can be directly applied to the layer specific illumination setting via process program of the scanner tool. Specific imaging performance tolerances can be set. Several imaging parameters can be observed separately and various tolerances can be applied during software run, to reach optimized performance. In this paper two product type patterns, like lines and spaces and a dense hole array, and the way of optimization for a high NA 193nm scanner, like minimizing aberration offsets, will be shown. Special attention to the application at already very low aberration levels of a scanner lens is highlighted. Here the method shows the ability of further reduction of aberration specific CD effects in the field. Optimization targets, results, metrology interactions and possible limitations are discussed.
Efficient optimization of lithographic process conditions using a distributed, combined global/local search approach
Author(s):
Tim Fühner;
Stephan Popp;
Christoph Dürr;
Andreas Erdmann
Show Abstract
This paper presents improvements and extensions that have been applied to our earlier presented approach of mutually optimizing lithographic illumination and mask settings. Our work aims at two aspects: (1) improvements of the optimization approach and (2) of the simulation scheme used for the optimization. As described earlier, the main problem of the proposed optimization approach is the high requirement of computation time. One solution is to extensively distribute calculations onto different computers. As an alternative to the former approach using MPI, a new improved technique is proposed, which makes use of the Python powered framework Twisted. This allows for a fail-safe and load-balanced distribution of calculations in a heterogeneous network environment. Another enhancement is the integration of local optimization routines into the proposed concept. For that, the state-of-the-art optimization toolkit of Matlab has been integrated into our approach. By combining our genetic algorithm with local search methods it is not only possible to increase the overall optimization performance, but also to evaluate local environments in the search space, which helps to assess the technical stability of solutions. As a first example the non-linear SQP (sequential quadratic programming) has been used, allowing for constrained problem specifications. Furthermore, the simulation itself was drastically improved in terms of efficiency. For example, instead of evaluating all solutions at the same numerical resolution, as a first step, a coarse-grained evaluation is performed, only if a solution's merit lies above a certain threshold, a detailed analysis at a higher (numerical) resolution is conducted. Various tests demonstrate not only the increase in efficiency obtained with the newly incorporated measures, but also show new results for a combined optimization of different mask features.
Optimization of contact hole lithography for 65-nm node logic LSI
Author(s):
Yuji Setta;
Hiroki Futatsuya;
Atsushi Sagisaka;
Tatsuo Chijimatsu;
Takayoshi Minami;
Fumitoshi Sugimoto;
Seiichi Ishikawa;
Satoru Asai
Show Abstract
Patterning of contact/via is a difficult issue for the optical lithography for each successive generation of LSIs. We examined a number of approaches to obtain a large process window and found that a dry ArF exposure tool with a large depth of focus (DOF) can form 100 nm contact holes. Our experimental results show that enough DOF can be obtained for various layouts by using sub-resolution assist feature (SRAF) technology and a unique illumination technology.
Pupil and illuminator optimization in partially coherent imaging systems
Author(s):
Igor Ivonin;
Tor Sandstrom
Show Abstract
The ability of optical imaging system to print in a particular node is determined by both CD linearity and resolution characteristics of the system. We present a general (i.e. nonparametric) method of optimization of partially coherent optical system (i.e. both polarizing/nonpolarizing pupil and illuminator) for the printing of any particular set of objects in the best possible printing node. The method turns out to be equivalent to an iterative quadratic-linear programming problem with inequality constraints. Additional requirements, such as, for instance, the focus sensitivity, are introduced naturally as the additional constraints. We present also the results of a hypothetical SLM-based mask writer optimization.
Illumination conditions matching for critical layers manufacturing in a production context
Author(s):
Louis-Pierre Armellin;
Andreas Torsy;
Ken Hernan;
Gurwan Kerrien;
Johanna Guidet;
Yan Riopel;
Vincent Salvetat
Show Abstract
As device geometries shrink, the lithography solutions to satisfy production requirements for a manufacturable process window often includes Optical Proximity Correction (OPC). OPC is sensitive to many process parameters, one of the most important is the illumination condition, this implicitly includes the lens NA and illuminator NA that generate the partial coherence factor σ, of the scanner. In a production context, the same performance is required for the product using several exposure tools but only one OPC scheme; this requires that the illumination conditions between scanners are matched. This verification has to be done not only for tools of the same generation, but the more complex case between tools of different generations. For the gate layer, an important requirement is the Across Chip Linewidth Variation (ACLV) that ensures transistors performance whatever the pitch. This requirement is mainly driven by Nested-Iso Bias. The paper will present the work completed on the gate layer in order to match the illumination conditions between scanners of the same generation and also between two scanners of different generations: one offers 0.68NA and a maximum σ of 0.75, the other has a maximum NA of 0.82 and maximum σ of 0.9. For scanners of the same generation, the matching was done by simply measuring the illumination NA of the tools, and for this a pinhole test was used. The matching was verified after litho by measuring Nested-Iso bias, and then on product using electrical CD measurement. For the "generation matching", two parameters are needed to define the illumination conditions: lens NA and illuminator NA. In this case, Nested-Iso bias is insufficient to identify the matching conditions as several combinations of lens and illuminator NA lead to the same Nested-Iso bias. Instead the OPC was checked on proximity curves generated for line end shortening and SRAM cells. The best matching conditions were then optimised using a simulation tool with the final check completed on product using electrical CD measurement.
Inverting pupil illumination from resist-based measurements
Author(s):
Gokhan Perçin;
Apo Sezginer;
Franz X. Zach
Show Abstract
Computational models used in process proximity correction require accurate description of the pupil illumination function of the lithography projector. Traditional top-hat approximation for pupil illumination function is no longer sufficient to meet stringent CD control requirements of low-k1 applications. The pupil illumination profile can change across the exposure field, contributing to across-field linewidth variation. We present a measurement of the pupil illumination based on exposing pinhole patterns on a wafer at different dose and defocus settings, and processing SEM images of patterns printed in photoresist. The fundamental principle of the method is Abbe's formulation of image formation: the intensity-image formed in resist is an incoherent, linear superposition of images each one of which is formed by illuminating the photomask by a single plane-wave. A single plane-wave that is incident on the photomask maps to a single point in the Fourier-transform aperture of the illuminator. The pupil-fill of the illuminator is obtained from SEM images by a model-based method consisting of these steps: First, resist edges in the SEM images are detected by an edge detection algorithm based on Perona-Malik diffusion. Coordinates of the points on the resist edge are obtained with respect to a reference ruler. The image intensity at any resist edge is equal to the dose-to-clear. This provides an equation for the image intensity at each point on the edge of a pinhole image. Multiple values of dose and defocus, and multiple points on each resist edge provide a large system of equations. The result of the inversion for a 193nm 0.75 NA stepper with σ = 0.55/0.85 annular illumination at five exposure field locations is presented. The CD difference between the nominal top-hat illumination and the inverted illumination was up to 1.8 nm for 1:1 line and space features ranging from 100nm to 300nm. Variation of the illumination along the long-dimension of the slit of the scanner caused 0.6 nm of CD variation for the same 1:1 dense lines.
A systematic study of process windows and MEF for line end shortening under various photo conditions for more effective and robust OPC correction
Author(s):
Qiang Wu;
Jun Zhu;
Peng Wu;
Yuntao Jiang
Show Abstract
Line end shortening (LES) is a classical phenomenon in photolithography, which is primarily caused by finite
resolution from the optics at the position of the line ends. The shortening varies from a couple tens of nanometers
for processes with a k1 around 0.5 to as much as 100 nanometers for advanced processes with more aggressive k1
numbers. Besides illumination, the effective resist diffusion has been found to worsen the situation. The effective
diffusion length for a typical chemically amplified resist, which has been demonstrated to be critical to the
performance of the photolithographic process, can be as much as 30 to 60 nm, which has been found to generate
some extra 30 nm LES. Experiments have indicated that wider lines have less LES effect. However, under certain
CD through-pitch condition, when the lines or spaces are very wide, the opposing line ends may even merge.
Currently, two methods have been widely used to improve the situation. One method to fix this problem is to extend
the line ends on mask, or to make them move closer toward each other to compensate for the shortening. However,
for a more conservatively defined minimum external separation rule, this method itself may not be enough to fully
offset the LES. This is because it has been found that there is a limit when the line ends are too close to each other
on mask, any perturbation on the mask CD may cause line ends to merge on wafer. The other way is to add
hammerheads, or to add wider endings. This is equivalent to the situation of an effectively wider line ends, which
has less shortening effect and can also live with a rather conservative minimum external separation. But in some
design, this luxury may not have room to implement, i.e., when the line ends are sandwiched by dense lines with
minimum ground-rules. Therefore, to best minimize the effect of LES or to completely characterize the LES effect,
one will need to study both the process window and mask error factor (MEF) under a variety of photo process
conditions, such as, illumination conditions and resist parameters. However, no such systematic study has been
reported so far. Our data indicate that the better the latent image contrast, the better the control of line end
shortening. In this paper, we will present our systematic studies in the effects of illumination condition and resist
parameters to the behavior of LES.
Lithography budget analysis at the process module level
Author(s):
Colin J. Brodsky;
William Chu
Show Abstract
A simple experimentally characterized lumped-parameter budget model is developed with the goal of quantifying the most significant components of critical dimension (CD) variation through an integrated process module. Tracked components include mask fabrication budgets, mask error factor, scanner field variation, optical proximity correction error, CD errors over chip topography, wafer-to-wafer and lot-to-lot variation. The components of variation are quantified for lithography and etch where appropriate and are fed into a simple interaction model to construct an overall patterning module CD budget. Normalized experimental results for this budget analysis are presented for 65 nm technology node contact patterning processes.
Minimization of sidelobes in rectangular contact/via hole structures
Author(s):
Mary Coles;
Mark Somervell
Show Abstract
With the increased use of attenuated phase shift masks, high NA, and highly light sensitive resists, accurate printing of both rectangular holes and square holes concurrently becomes an increasing challenge. Because of the relative difference in the amount of light passing through a rectangular hole compared to a square hole, printing both with good fidelity, and without sidelobing of the rectangular structures, is challenging. Sidelobes can arise when the first order of diffracted light from neighboring structures constructively interferes with light from the 6% attenuated background. Optimization of process conditions for square hole printing often results in sidelobing in rectangular structures on the same chip. Common methods for reducing sidelobes are to increase mask bias or partial coherence. Contrast considerations and mask inspectibility requirements limit the mask bias that can be used for square holes, and increasing partial coherence reduces the depth of focus for isolated square holes. This paper presents simulation and experimental results showing the effects of illumination conditions and mask bias on overall process window, including the sidelobe margin for rectangular hole structures. Sidelobe printing in rectangular holes is found to be extremely pitch dependent, and relatively insensitive to width. As discussed in this paper, the optimal process window depends on many factors, including the layout (of squares as well as rectangles), resist choice, scanner aberrations (coma), and illumination conditions. As with many aspects of photolithography, development of an optimal process requires consideration of all factors and making specific tradeoffs to reach this goal.
Systematic optimization of the thin-film stack by minimizing CD sensitivity
Author(s):
Shinn-Sheng Yu;
Burn J. Lin;
Anthony Yen;
Chih-Ming Ke
Show Abstract
The very tight critical dimension(CD) specification as well as the very small energy latitude(EL) of the 65-nm node and beyond, planned to be accomplished by ArF lithography, require that the control of the employed thin-film stack should also be very tight. In such cases, there are generally several optical parameters of the thin-film stack that have appreciable effects on CD variation. So, we can not just focus on minimizing the swing effect by minimizing substrate reflectivity, as we did conventionally. Here, we propose a systematic methodology for doing optimization of the thin-film stack when several optical parameters of the thin-film stack come into play simultaneously. By adopting a proper figure of merit, the optimization can be done automatically. The specially designed algorithm ensures that global optimization can be achieved.
Implementation of contact hole patterning performance with KrF resist flow process for 60nm node DRAM application
Author(s):
Hyoung-ryeun Kim;
Yeong-Bae Ahn;
JongKuk Kim;
SeokKyun Kim;
DongHeok Park;
Young-Sik Kim
Show Abstract
Small contact holes are the most difficult structures for microlithography to print because it is sensitively affected by the process condition, pattern density and environment as well. Moreover, the patterning of very small contact hole features for the 60nm node DRAM device generation will be a difficult challenge for 248nm lithography. However, we have already demonstrated the applicability of thermal flow resist to print 80nm contact holes for DRAM device using 248nm lithography in previous studies. In this work, we study the potential for contact photoresist reflow to be used with 248nm photoresist to increase process windows of small contact dimensions at the 60nm node DRAM device generation (0.21 k1). With KrF 0.80NA scanner, resist flow process and layout optimization were carried out to achieve the contact hole patterning. And also the exposure condition was optimized. For a contact hole with CDs of 69nm +/- 10%, Focus-Exposure windows over the wafer are 0.25μm and 8%, respectively. In conclusion, we have successfully achieved the contact hole patterning with KrF resist flow process for the 60nm node DRAM device.
Advances in imaging tool adjustment optimization methodologies and capabilities, including impact upon imaging performance budget components
Author(s):
Steve D. Slonaker;
Mark C. Phillips;
Chris Treadway;
Greg Darby;
Kurt Johnson;
Bob Moore
Show Abstract
As the design rules and the actual sizes of the patterns being printed continue to shrink well below half the wavelength of light being utilized, the budgets associated with all variations in imaging likewise continue to squeeze to tighter required tolerances. In this environment, the control and adjustment of the imaging has continued to increase in importance. Building upon previous work in the field of lens adjustment and optimization, additional methods have been developed and implemented which enable more specialized adjustment towards the optimization of given priorities associated with a given patterning step. Specifically, it is fast becoming typical to leverage all available degrees of adjustment freedom uniquely for each critical layer identified in a given process. Various optimization goals are discussed, with procedures and results presented for each. Examples of these include: 1) optimization of a single machine towards the best possible ultimate CD uniformity performance while staying within a specified range of allowed residual distortion 2) optimization of ultimate distortion magnitude, while staying within a budgeted level of imaging/CD uniformity performance 3) optimization of specific aberrations while maintaining all others within budgeted levels of allowed magnitude The motivations driving each of these sorts of optimizations will be discussed, as well as limitations that may exist from various sources, including metrology, process variation and it effects, and the imaging tool. The impact such optimization capability can have on the defined CD budget will also be discussed, towards the goal of qualifying and, where possible, quantifying the overall improvement possible through application of these optimization techniques. These sorts of improvements and their documentation can allow for feedback into the device design process, leveraging the reduced level of variation resulting from such optimizations.
Measurement, separation, and amelioration of transverse scanning synchronization error
Author(s):
Yuji Yamaguchi;
Ranjan Khurana;
Adlai H. Smith;
Venky Subramony;
Calvin Chen Chii Wean;
Joseph J. Bendik
Show Abstract
The need for lithographic tool advances for reducing feature size, pitch (low k1 processing), and improving overlay
stems directly from next generation circuit layout and performance roadmaps1. Overlay error or layer-to-layer
misalignment tolerances have continued to decrease to the point where a few nanometers of misalignment can seriously
impact process and device yields. In this work, we expand our previous work2 and introduce a new scanner aberration
monitoring methodology that can both measure and deconvolve lens distortion from scanning synchronization error
while simultaneously providing machine corrections for accurate tool matching. Experimental data taken from several
machines suggests it is possible to ameliorate scanning synchronization error for each machine and improve tool-to-tool
matching at the level required for next generation processing. Finally, we discuss applications of this new technology
including practical fab implementation and discovering problematic scanning tool signatures.
Mask substrate birefringence requirements for hyper-NA lithography
Author(s):
Mark van de Kerkhof;
Wim de Boeij;
Marcel Demarteau;
Bernd Geh;
Leonardus H. A. Leunissen;
Patrick Martin;
Mike Cangemi
Show Abstract
For the 45nm node lithography, the mask substrate properties will have a significant impact on imaging performance. Analysing the optical stress birefringence in mask blanks reveals a wide variation within the available population of mask substrates. Both magnitude and orientation of birefringence result in polarization changes in an optical system. These effects potentially produce image degradation, leading to intra-field CD variations. Besides the inherent properties of the mask blank, additional stress birefringence can be generated during the patterning processing and on-scanner reticle use. The main causes are: deposition of the absorber stack, patterning, chucking of the mask in an exposure tool and the mounting of a pellicle. In this paper, experimental results will be shown on the stress birefringence introduced by the different process steps. The imaging effects of mask birefringence will be determined through simulations and experimental validation on high-NA lithographic exposure tools. This study makes clear how mask birefringence impacts high and hyper NA lithography, and gives an indication of the allowed tolerances.
Printability of quartz defects in a production Cr-less mask process
Author(s):
Gregory Hughes;
Susan MacDonald;
John Riddick;
Anthony Nhiev;
Jason Hickethier
Show Abstract
Chromeless PSM photomasks have been successfully applied to a production memory application. This 248-nm application has allowed an extremely aggressive, dense design to be successfully deployed without changing wavelength. This was achieved with an advanced resolution enhancement technique, a chromeless phase-shifting mask, to provide a more cost-effective total lithographic solution. The key to this technology is a mask that delivers high wafer-die yields, while delivering resolution at low k1. Therefore, the mask must have zero printing defects. In order to understand printing defects, many types of potential defects were analyzed and correlated back to the mask locations using both a 248-nm AIMs tool and SEM images. These defects were also correlated to a 257-nm KLA 576 tool using die-to-die inspection runs. This paper will examine chromeless mask phase-defect printing effects by using inspection capture at the key manufacturing steps (post-Cr etch, post-Qz Etch, and post-Cr removal). These defects will then be tracked through processes using SEM, AIMs, RAVE repair, and post-repair AIMs.
Alternated phase-shift mask for 45nm node contact hole patterning
Author(s):
Pietro Cantu;
Gianfranco Capetti;
Chiara Catarisano;
Fabrizio D'Angelo;
Alessandro Vaccaro
Show Abstract
Among other memory products FLASHes are becoming a technology driver in term of design rules aggressiveness for dense structures. Upcoming revisions of ITRS roadmap forecast 45nm technology node introduction for FLASHes one year ahead (2006) compared to DRAMs (2007). In this scenario the basic development of 45nm process requires patterned samples starting from the end of 2005. Waiting for hyper high NA ArF immersion tools availability, different RET solutions based on the existing lithography platforms have been evaluated with the aim to provide patterned samples for process modules development.
Our paper is focused on 45nm node contact holes, certainly considered one of the most challenging layers in the technology assessment: various RET strategies will be briefly discussed and particular attention will be dedicated to alternating phase shift mask option. Strong PSM approach has been already proposed in the past as viable solution for 65nm node contact holes patterning using ArF tools; here we discuss problems related to its extension down to 45nm node (with dry equipments), in ultra low k1 regime and close to the physical limit of 0.25 k1. The paper addresses main challenges related to the application of an alt PSM approach to a full chip FLASH design, suggesting possible solutions for assist features generation and phase assignment. Different strategies to compensate for the well known phase imbalance phenomena have been selected by using fully rigorous 3D optical simulations. Finally preliminary printing test will be shown. Lithography performances (Minimum resolution, Process window, contact profile) will be compared with conventional RET techniques.
Alternating PSM balancing characterization: a comparative study using AIMS and wafer print data
Author(s):
Martin Sczyrba;
Roderick Köhle;
Karsten Bubke;
Mario Hennig;
Rainer Pforr;
Ralf Neubauer
Show Abstract
Alternating Phase-Shifting masks (altPSM) are known to provide high contrast imaging combined with a low Mask Error Enhancement Factor (MEEF) at low k1. At feature sizes close to 60nm half-pitch and less the impact of mask topography effects increases. This applies in particular for altPSM. This is due to the quartz etch which is required for every second mask aperture to obtain the 180 degrees phase shift. It enlarges the mask profile height significantly. The influence of the quartz trench profile on the transmission and phase balancing performance has already been studied extensively. Basically it has been shown, that tighter quartz trench profile control, specifically for etch depth and width, is required with decreasing mask feature half pitch. The desired mask pattern geometry optimization is currently based on an evaluation of the printed resist pattern over defocus. However, a mask process engineer can use instead only AIMS measurements of the mask features. Therefore there is a mature interest to check, how good such measurements can replace resist pattern measurements. In the paper therefore it is evaluated how accurate AIMS measurements can describe the real printing performance of an alternating PSM in resist. Impact of differences of the image formation is investigated by use of analytical expressions. Furthermore, the influence of tool imperfections and the presence of resist are discussed. The theoretical results are compared to experimental data taken from AIMS measurements and wafer prints.
Applicability of alternating phase shifting masks using polarized light
Author(s):
Karsten Bubke;
Martin Sczyrba;
Christophe Pierrat
Show Abstract
The use of Alternating Phase Shifting Masks (APSM) for sub 50nm half pitch pattern using 193nm lithography was evaluated. Results show that polarized illumination may be necessary for APSM to compete with Half-Tone Phase-Shifting Masks (HTPSM) when printing sub 50nm features. The low sigma illumination conditions required for APSM constraints the choice of a possible polarized illuminator to the TE polarized option therefore limiting the patterns to be oriented in one direction.
Topography effects imply the use of polarization-dependant balancing of APSM which should not be a show-stopper as long as it is properly handled at the time the mask is manufactured. Due to topography effects, the MEEF is increased if compared to thin mask approximation but the relative numbers remain manageable.
The sensitivity of CD errors with respect to polarization errors of the source is comparable to HTPSM masks. The induced displacement due to polarization errors is small compared to the CD variation of the dark line.
The feasibility study of KrF HT-PSM in ArF lithography process
Author(s):
Yeon Hwa Lim;
Hong Ik Kim;
Jae Sung Choi;
Jeong Gun Lee
Show Abstract
To print the 0.13μm logic device pattern, both KrF and ArF lithography can be used and we have two lithography processes for 0.13μm technology. In this paper, we evaluate whether ArF lithography process has enough process margin or not, when KrF HT-PSM is applied to ArF lithography processes. To estimate the feasibility of KrF HT-PSM in ArF lithography process, we simulated the change of the proximity effect according to illumination conditions and selected an optimum illumination condition. In that condition, we investigated the changes of ID bias, linearity and lineend shortening effect (LES) of minimum pattern. ID bias and CD linearity of isolated line in the ArF lithography matched well with those in KrF lithography on the optimized illumination condition. The differences of ID bias and linearity are less than 5nm. Line end CD difference between two processes is under 10nm. The ArF lithography process has enough process margins in optimized illumination condition with KrF Ht-PSM. Therefore, in the optimized illumination condition, KrF Ht-PSM can be applied to ArF lithography process to print pattern for the 0.13 μm logic device without mask revision.
Methodology to set up mask CD specification including MEEF and process sensitivity of mask CD error
Author(s):
Yeon-Ah Shim;
Se-Jin Park;
Ju-Hyun Kim;
Jea-Hee Kim;
Kee-Ho Kim
Show Abstract
The advanced lithography needs to be tightly controlled in various areas of lithography. The mask CD specification is one of new areas required much tighter control. Typically, mask CD error can be sorted as two different categories. One is Mean-to-target (MTT) and another is CD uniformity (CDU). The MTT is the difference between the target value and the average value of the measured CD on the mask. CDU means CD uniformity across mask. Those two potential errors can be magnified on the wafer level due to the MEEF. To overcome the MTT, we can adjust expose dose to compensate mask CD error so that we achieve targeted CD on the wafer level. However, the changing expose dose also induces process window change due to the MEEF. It means that we have narrower process window even if we get the targeted CD on the wafer level. On the other hand, CDU can give two different effects on the wafer level. One is narrower process window due to magnified ACLV (Across Chip Line-width Variation) due to the MEEF. Another effect of CDU is the poor OPC accuracy caused by different MEEF as function of pitch. For example, we assume that CD difference of dense line and isolated line is 10 nm on the mask. However, on the wafer, this 10 nm can be magnified as 20 nm by MEEF difference between two structures. Therefore, we think that the mask specification needs to take account those effects. In this paper, we will show technical data to prove how MTT and CDU impact on process window and OPC accuracy. And we will show how we have to make mask specification to overcome those effects.
Influence of mask manufacturing process on printing behavior of angled line structures
Author(s):
Silvio Teuber;
Arndt C. Dürr;
Holger Herguth;
Gerhard Kunkel;
Timo Wandel;
Thomas Zell
Show Abstract
For the successful reduction of chip production costs, the usage of more advanced designs with lower area consumption by manufacturing angled line structures is one possibility. The usage of conventional vector shaped electron beam writers does only allow writing Manhattan-like structures as well as 45 degree angled structures. There are several approximation possibilities for writing any angled lines, e.g. they could be approximated by writing only small rectangles or small rectangles in combination with small 45 degree triangles. This method introduces a very pronounced line edge roughness due to the written uneven edges. The critical dimension uniformity on the mask and the printing behavior are directly influenced by this synthesized line edge roughness. This paper addresses the investigation of critical dimension of the angled mask structures as well as the influence on the printing behavior. The different masks used in the experiment were patterned at the Advanced Mask Technology Center (AMTC). Measurements of pattern line widths were performed by using scanning electron microscopy techniques. The printing behavior of different structures was investigated by running AIMS measurements and performing exposure experiments. Comparing the mask structures and the final printed wafer structures, estimations on the transfer function of the synthesized line edge roughness could be performed.
Process window OPC verification: dry versus immersion lithography for the 65nm node
Author(s):
Amandine Borjon;
Jerome Belledent;
Yorick Trouiller;
Kevin Lucas;
Christophe Couderc;
Frank Sundermann;
Jean-Christophe Urbani;
Yves Rody;
Christian Gardin;
Frank Foussadier;
Patrick Schiavone
Show Abstract
Ensuring robust patterning after OPC is becoming more and more difficult due to the continuous reduction of layout dimensions and diminishing process windows associated with each successive lithographic generation. Lithographers must guarantee high imaging fidelity throughout the entire range of normal process variations. To verify the printability of a design across process window, compact optical models similar to those used for standard OPC are used. These models are calibrated from experimental data measured at the limits of the process window. They are then applied to the design to predict potential printing failures. This approach has been widely used for dry lithography. With the emergence of immersion lithography in production in the IC industry, the predictability of this approach has to be validated on this new lithographic process. In this paper, a comparison between the dry lithography process model and the immersion lithography process model is presented for the Poly layer at 65 nm node patterning. Examples of specific failure predictions obtained separately with the two processes are compared with experimental results. A comparison in terms of process performance will also be a part of this study.
CFD analysis of the receding meniscus in immersion lithography
Author(s):
Mohamed S. El-Morsi;
Scott D. Schuetter;
Gregory F. Nellis;
Chris K. Van Peski
Show Abstract
In an immersion lithography tool, a high refractive index liquid is introduced into the space between the last projection
lens of the system and the wafer. The additional liquid increases the system's numerical aperture, thereby decreasing the
theoretical limit of resolution. In order to achieve the levels of throughput that are demanded by the semiconductor
industry, the wafer will be subjected to high velocities and accelerations which present challenges to the fluid
management system. As the wafer velocity increases, the dynamic receding contact angle is reduced. At high velocities
inertial forces can overcome surface tension forces that hold the fluid. If this occurs, the contact angle approaches zero
and a very thin film of liquid is "pulled" from the receding meniscus, which is not desirable. A two-dimensional (2-D) computational fluid dynamics model has been developed to investigate the behavior of the
receding meniscus under different operating conditions. The receding dynamic contact angle and film pulling velocity
predicted by the model are compared with the same quantities measured experimentally. It is shown that a 2-D model
provides predictions that are qualitatively accurate and therefore useful in the evaluation of alternative fluid management
techniques. A parametric study of the effect of static receding contact angle and external pressurization on the film
pulling velocity is described, as these quantities represent two design parameters that are currently being considered for
immersion tool fluid management.
Mask topography effect with polarization at hyper NA
Author(s):
Norihiro Yamamoto;
Jongwook Kye;
Harry J. Levinson
Show Abstract
As ArF immersion lithography is adopted beyond the 45 nm node, the minimum mask feature size will become equal to or smaller than the wavelength of the light. For such situations, polarization by the mask will play a very important role on imaging quality. In addition, TM and TE diffraction efficiencies for very narrow grating masks will depend significantly on the mask materials. Also, they are affected by variations of absorber thickness, sidewall angle and material optical properties. In this paper, we investigate how the aquatic images with unpolarized and properly polarized illumination on binary image masks, attenuated phase shift masks (attPSM) and alternating aperture phase shift masks (altPSM) are affected by those mask parameters, using rigorous electro-magnetic field simulator. In terms of mask topography effects, there are some difficulties with phase shift mask technology with unpolarized illumination beyond 45 nm node. We will need to control absorber thickness within 2.6% for attPSM with unpolarized illumination and sidewall angle of π-shifter trenches within 1 degree for altPSM with unpolarized illumination.
Determination of complex index of immersion liquids at 193 nm
Author(s):
Jean-Louis Stehle;
Jean-Philippe Piel;
Jose Campillo-Carreto
Show Abstract
The next nodes in immersion lithography will require the scanners to use the 193 nm ArF* laser line with a very large numerical aperture and a liquid between the optics and the resist. (1)
Immersion lithography at 193 nm requests very specific parameters for the fluid. The first generation is using the deionized
Water (DIW) very pure and not recycled, but when a new optical material for the last lens will be available with
a refractive index (RI) larger than 1.85, a higher refractive index fluid could be used, enabling second and maybe third
generation of immersion lithography at 193 nm. So the 45 and maybe the 32 nm nodes could be covered with this high
Index fluids (HIF).
Sub-40nm pattern fabrication in 157nm interferometric immersion lithography
Author(s):
Takuya Hagiwara;
Shou Tsuji;
Kiyoshi Fujii;
Masato Moriya;
Osamu Wakabayashi;
Akira Sumitani;
Yusuke Saito;
Kazuhiko Maeda
Show Abstract
We previously succeeded in fabricating 60-nm line-and-space (L/S) patterns with fluorine-containing silsesquioxane-type (F-SSQ) resist, BARRIERTA® J25V immersion fluid, and NovecTM HFE-7200 rinse liquid using our two-beam interferometer. In the study reported here, we tried to fabricate a finer resist pattern with this immersion fluid, but 40-nm L/S could not be resolved because of the T-top shapes produced under the same conditions. The cause of the T-top shape was photo-acid generator (PAG) leaching into HFE-7200, which in effect caused the resist layer to swell. When FluorinertTM FC-84 with the CF3 functional group, which has the lowest reactivity, was used as a rinse liquid, the resolution of 40- and 32-nm L/S without T-top shape was achieved. Next, to fabricate finer patterns, we considered improving the molecular structure to raise the refractive index. As a result of an examination based on perfluoroalkane, which has high transparency, we found that it is effective to reduce the fluorine atom concentration, transform the molecular structure to a steric structure without reducing molar refractivity, and attach a functional group such as a cyclohexyl or chloro group. Finally, with the high-refractive index immersion fluid, we attempted to fabricate 28-nm L/S. Although we tried pattern fabrication with two immersion fluid candidates, transfer of the interference patterns to the resist has not been confirmed at this time. However, since we checked that the light did reach the resist, we speculate that it will be possible to fabricate 28-nm L/S by making a minor change.
Full-field exposure tools for ArF immersion lithography
Author(s):
Jeung-woo Lee;
Akihiko Otoguro;
Toshiro Itani;
Kiyoshi Fujii;
Ken-ichi Shiraishi;
Tomoharu Fujiwara;
Yuki Ishii
Show Abstract
Immersion lithography has by far satisfied most expectations regarding its feasibility as the next lithographic
technique for the 65-nm node and below. To further advance 193-nm immersion lithography, a means of efficiently
controlling water as an immersion fluid and research and development concerning resist processes are necessary.
In 2004, Nikon Corporation introduced a 0.85 numerical aperture (NA) 193-nm immersion exposure tool that uses
water as the immersion liquid. This engineering evaluation tool (EET) is equipped with a highly efficient temperaturestabilized
water nozzle assembly. Selete Inc. in collaboration with Nikon Corporation has been evaluating the
performance and various characteristics of the EET while also investigating various photoresist and topcoat processes.
We selected three types of standard immersion processes that offered the best performance for our evaluation
purposes. A resolution limit of 70-nm half-pitch line-and-space (L/S) patterns has been confirmed. A 0.8-μm depth of
focus (DOF) was also verified for an 80-nm half-pitch L/S pattern. In addition, full wafer (WF) critical dimension (CD)
uniformity of less than 5 nm (3 sigma) has been demonstrated for a 90-nm half-pitch L/S pattern on a 300-mm wafer
(WF). After the implementation of various improvements to both the EET and the topcoat/resist processes, we have
achieved a total defect density of 0.23/cm2, and this defect level is low enough for pilot production.
Analysis and improvement of defectivity in immersion lithography
Author(s):
Katsushi Nakano;
Soichi Owa;
Irfan Malik D.D.S.;
Tetsuya Yamamoto;
Somnath Nag
Show Abstract
In this paper, we report results of comprehensive studies of defects originating in immersion photolithography clusters comprising immersion volume production tool (S609B, NA=1.07) and engineering evaluation tool (EET, NA=0.85). Defectivity of S609B was very low, 0.013 /cm2; it attained dry exposure level successfully. Defectivity results using EET were also very promising in all three major resist processes including solvent soluble topcoat, developer soluble topcoat and topcoat-less resist. Defectivity did not show any scan speed dependency and target size dependency, showing the extendibility of our immersion technology to future mass production phase. In particular, we found that for 50 ml water droplets, receding angle larger than about 70 degree provides immersion process free of immersion-specific defects. We successfully demonstrated very effective defect analysis technique named DSA (defect source analysis) to show what defects are immersion-specific. We also revealed the defect generation mechanism of each defect types. Deep understanding of defectivity behavior leads to a conclusion that immersion lithography is viable for IC manufacture at 45 nm node.
Verification of optical proximity effect in immersion lithography
Author(s):
Toshifumi Suganaga;
Shinroku Maejima;
Tetsuro Hanawa;
Takeo Ishibashi;
Shuji Nakao;
Seiichiro Shirai;
Koichiro Narimatsu;
Kazuyuki Suko;
Kenichi Shiraishi;
Yuki Ishii;
Tomoyuki Ando;
Katsumi Ohmori
Show Abstract
193 nm lithography is one of the most promising technologies for next-generation lithography and is being actively evaluated for making it practicable (1,2). First, we evaluated an immersion lithography tool (engineering evaluation tool (EET)) (3) and a dry lithography tool (S307E) with the same numerical aperture (NA = 0.85), manufactured by Nikon Corporation. As a result, an increase in the depth of focus (DOF) of the EET to 200 nm in comparison with the DOF (110 nm) of the dry exposure tool was confirmed in a 90 nm isolated space pattern. Next, the optical proximity effect (OPE) in this pattern was evaluated. Generally, when an immersion lithography tool is compared with a dry one with the same NA or both the tools, only an increase in the DOF is found. However, we confirmed that the OPE (The OPE of the 90 nm isolated space pattern is defined as the difference in the space width between a dense space and an isolated space.) of the dry exposure tool for the 90 nm isolated space pattern reduced from 33.1 nm to 14.1 nm by immersion lithography. As the effect of the reduction of 19 nm, the OPE reduced to 15.2 nm by the effect of the top coatings (TCs) and to 3.8 nm by the optical characteristics. An impact of about 5 nm on the OPE was confirmed by the process parameters-film thickness and the pre-bake temperature of the TC. In the case that the solvent was replaced with a high boiling point solvent, the impact changed from 5 to 20 nm further, the replacement of the solvent had a considerable impact on the OPE. However, this influence differs considerably according to the kind of resists; further, it was shown that the addition of acid materials and a change in the polymer base resulted in a high impact on the OPE for a certain resist. Thus, it was demonstrated that the selection of TC is very important for the OPE in immersion lithography.
Wafer management between coat/developer track and immersion lithography tool
Author(s):
Tomoharu Fujiwara;
Kenichi Shiraishi;
Hirokazu Tanizaki;
Yuuki Ishii;
Hideharu Kyoda;
Taro Yamamoto;
Seiki Ishida
Show Abstract
The ArF immersion lithography is a probable technique for the application below 65 nm hp generation. The first immersion lithography scanner, the engineering evaluation tool (EET) being connected inline with a coat/developer (C/D) ACT12 (Tokyo Electron Ltd.), was completed in the end of 2004 and showed that a bit of residual water might make a watermark on the wafer. Tokyo Electron Ltd. and Nikon Corp. have challenged to resolve this problem from a point of view of improvements on the system components for production tools. Nikon improves on local water filling nozzle, wafer table and wafer loader. The nozzle and the wafer table in the exposure tool are optimized to diminish the residual water, while the wafer stage is driven at high speed for high throughput of the production tool. However a bit of water, the amount of which also depends on a topcoat material, may remain. The wafer loader should carry the wafer to the C/D before drying up it. Before post exposure bake (PEB), C/D rinses and dries the wafer immediately to prevent it from the generation of watermark by remaining water. The wafer handling condition including rinse of which is optimized using the ACT12 connected to the EET and have applied to the new C/D LITHIUSi+ connected to S609B, the first Nikon's immersion scanner for mass production. In this report, we present the latest immersion technology, including the wafer contamination control, which is developed through the collaboration between Tokyo Electron Ltd. and Nikon Corp.
Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography
Author(s):
Jianming Zhou;
Yongfa Fan;
Bruce W. Smith
Show Abstract
Immersion interferometric lithography has been applied successfully to semiconductor device applications, but its potential is not limited to this application only. This paper explores this imaging technology for the production of three-dimensional nano-structures using a 193 nm excimer laser and immersion Talbot interferometric lithographic tool. The fabrication of 3-D photonic crystals for the UV spectrum is still considered to be a challenge. A systematic analysis of immersion lithography for 3-D photonic crystal fabrication will be provided in this paper. Significant progress has been made on optical immersion lithography since it was first proposed. Two-beam immersion interferometric lithography can provide sub-30nm resolution. By changing the exposure parameters, such as the numerical aperture of the exposure system, the polarization states and wavelength of the illumination source, 30 nm polymeric nanospheres with different crystal structures can be fabricated.
Comparison of immersion lithography from projection and interferometric exposure tools
Author(s):
Stewart A. Robertson;
Joanne M. Leonard;
Bruce W. Smith;
Anatoly Bourov
Show Abstract
In this work, an Amphibian XIS interference mini-stepper is used to synthesize the aerial image of 90nm dense line/space pattern produced by an ASML TWINSCAN /1150i immersion scanner, using a second single beam exposure to demodulate the first 100% modulated interference exposure. The experimental data from the scanner and the demodulated interference exposure have near identical exposure latitude and LER (line edge roughness). Whilst the synthetic defocus data also shows a good degree of correlation with the projection data, the level of agreement is a little lower. Overall agreement is good, suggesting that the use of the synthetic aerial image approach is a useful screening tool for photoresists prior to testing on full field scanner system. This technique can be used to predict the performance of future projection tools, allowing cycles of learning in resist development prior to scanner availability.
Drag-a-drop: a characterization tool for immersion lithography
Author(s):
Derek W. Bassett;
J. Chris Taylor;
Will Conley;
C. Grant Willson;
Roger T. Bonnecaze
Show Abstract
In order to quickly and cheaply test candidate fluids and coatings for immersion lithography, we have devised a fluid handling scheme that we call drag-a-drop. We have constructed a prototype tool in order to test materials using this fluid scheme, and conducted several experiments with it. From these tests, we have determined that a hydrophobic topcoat with low contact angle hysteresis on the substrate increases the maximum stable scanning velocity by at least a factor of 2 over a standard 193 nm photoresist. We observed that instabilities on the receding contact line are unaffected by height, but the onset of instability on the advancing contact line occurs when the height of the lens is low. We also examined the drag-a-drop technique for possible use in laser mask writing, and found that by means of a hydrophobic topcoat, the lens can be completely removed from the substrate while keeping the immersion droplet affixed to the lens.
Novel high refractive index fluids for 193nm immersion lithography
Author(s):
Julius Santillan;
Akihiko Otoguro;
Toshiro Itani;
Kiyoshi Fujii;
Akifumi Kagayama;
Takashi Nakano;
Norio Nakayama;
Hiroaki Tamatani;
Shin Fukuda
Show Abstract
Despite the early skepticism towards the use of 193-nm immersion lithography as the next step in satisfying Moore's law, it continuous to meet expectations on its feasibility in achieving 65-nm nodes and possibly beyond. And with implementation underway, interest in extending its capability for smaller pattern sizes such as the 32-nm node continues to grow. In this paper, we will discuss the optical, physical and lithographic properties of newly developed high index fluids of low absorption coefficient, 'Babylon' and 'Delphi'. As evaluated in a spectroscopic ellipsometer in the 193.39nm wavelength, the 'Babylon' and 'Delphi' high index fluids were evaluated to have a refractive index of 1.64 and 1.63 with an absorption coefficient of 0.05/cm and 0.08/cm, respectively. Lithographic evaluation results using a 193-nm 2-beam interferometric exposure tool show the imaging capability of both high index fluids to be 32-nm half pitch lines and spaces.
Development of cleaning process for immersion lithography
Author(s):
Ching Yu Chang;
D.C. Yu;
John C.H. Lin;
Burn J. Lin
Show Abstract
In immersion lithography, DI water fills the space between the resist surface and the last lens element. However water is also a good solvent for most of the leaching compounds from resists. The leaching materials from the resist and the original impurities in the water from pipelines pose a significant risk on bottom lens deterioration, wafer surface particles, and facility contamination. If the bottom lens surface deteriorates, it can cause flare and reduce transparency. Particles on the wafer surface can degrade image formation. In addition to contaminating the facility, the impurity inside the water can cause stains or defects after the water is evaporated from the wafer surface.
In order to reduce the impact of such contamination, we have evaluated many chemicals for removing organic contamination as well as particles. We have collected and characterized immersion-induced particles from cleaning studies on bare silicon wafers. We have also used oxide wafers to simulate the lens damage caused by the cleaning chemicals. In case, a mega sonic power is not suitable for scanners last lens element in production FABs, the emulsion concept has also been adopted to remove the lens organic contaminants. We have studied many chemical and mechanical methods for tool cleaning, and identified those that possess good organic solubility and particle removal efficiency. These cleaning methods will be used in periodic maintenance procedures to ensure freedom from defects in immersion lithography.