Proceedings Volume 6153

Advances in Resist Technology and Processing XXIII

cover
Proceedings Volume 6153

Advances in Resist Technology and Processing XXIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 9 March 2006
Contents: 22 Sessions, 157 Papers, 0 Presentations
Conference: SPIE 31st International Symposium on Advanced Lithography 2006
Volume Number: 6153

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Plenary Session
  • Invited Session
  • Immersion I
  • Immersion II
  • Resist Materials
  • ARC/Multilayer Processes
  • Resist Processing
  • Resist Processes and Simulation
  • Resist Fundamental
  • LER
  • NGL
  • Novel Processes and Applications
  • Immersion Lithography Materials
  • Poster Session Materials and Processes for Immersion Lithography
  • Poster Session Resist Materials
  • Poster Session ARC/Multilayer Processes
  • Poster Session Resist Processing
  • Poster Session Resist Defects
  • Poster Session Resist Fundamentals and Simulation
  • Poster Session Line-Edge Roughness
  • Poster Session NGL
  • Poster Session Novel Processes and Applications
Plenary Session
icon_mobile_dropdown
Marching to the beat of Moore's Law
Area density scaling in integrated circuits, defined as transistor count per unit area, has followed the famous observation-cum-prediction by Gordon Moore for many generations. Known as "Moore's Law" which predicts density doubling every 18-24 month, it has provided all important synchronizing guidance and reference for tools and materials suppliers, IC manufacturers and their customers as to what minimal requirements their products and services need to meet to satisfy technical and financial expectations in support of the infrastructure required for the development and manufacturing of corresponding technology generation nodes. Multiple lithography solutions are usually under considerations for any given node. In general, three broad classes of solutions are considered: evolutionary - technology that is extension of existing technology infrastructure at similar or slightly higher cost and risk to schedule; revolutionary - technology that discards significant parts of the existing infrastructure at similar cost, higher risk to schedule but promises higher capability as compared to the evolutionary approach; and last but not least, disruptive - approach that as a rule promises similar or better capabilities, much lower cost and wholly unpredictable risk to schedule and products yields. This paper examines various lithography approaches, their respective merits against criteria of respective infrastructure availability, affordability and risk to IC manufacturer's schedules and strategy involved in developing and selecting best solution in an attempt to sort out key factors that will impact the decision on the lithography choice for large-scale manufacturing for the future technology nodes.
Invited Session
icon_mobile_dropdown
Self assembly in semiconductor microelectronics: self-aligned sub-lithographic patterning using diblock copolymer thin films
Self-assembling diblock copolymer thin films are an intriguing possible photolithography alternative for high-resolution patterning of advanced integrated circuit device elements. Cylindrical- and lamellar-phase materials spontaneously form patterns suggestive of contact-hole arrays and transistor gates at critical dimensions below 20nm. Besides high resolution, any serious lithographic process requires a means of pattern registration, and we discuss our efforts to develop self-aligned self assembly techniques using diblock copolymer materials. We describe the critical role of polymer surface interactions in affecting self-assembled pattern orientations. Control and design of surface properties allow precise registration of sub-20nm polymer domains to larger-scale lithographic layers.
Immersion I
icon_mobile_dropdown
Numeric analyses of the roles of gas phase and liquid phase UV photochemistry in conventional and immersion 193 nm lithography
We examine the consequences of 193 nm photochemistry of air and water on resist compositions during exposure. The analysis uses a detailed quantitative kinetic model based on available literature mechanistic data and constructed with in-house simulation tools. In conventional 193 nm lithography, both oxidation of the resist polymer due to the UV photolysis of molecular oxygen, and film interaction with strong acids, formed by photo-oxidation of nitrogen and sulfur species in ambient air, have been proposed to lead to degraded resist imaging. We assess the extent to which such reactions can occur under typical lithographic process conditions, and find that while oxidation is minimal, acid deposition into the top of the resist film is significant and can spread over distances of millimeters. Immersion lithography using 193 nm radiation utilizes a layer of highly purified, degassed water as an index-matching fluid. When water is exposed to 193 nm light, short-lived chemical intermediates are produced by two pathways, neutral and ionic. A quantitative evaluation of this photochemistry during lithographic immersion exposure shows that neither type of intermediate nor photolyzed, leached photoacid generator molecules lead to significant water composition changes, so resist impacts are not likely to be marked. Organic immersion fluids may undergo significant photolysis, however there are insufficient experimental data to assess any potential impacts at this time.
Top coat or no top coat for immersion lithography?
Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of the resists with and without the use of top coats. So far we can conclude that top coat/resist approach used in immersion lithography needs some more improvements (i.e. process, materials properties) in order to be implemented in high volume manufacturing.
Evaluation of 193nm immersion resist without topcoat
193nm immersion resist without topcoat is production preferred solution. The challenge of 193nm immersion resist is both low leaching level and high performance. This paper summarizes the screening results of selected 193nm immersion resists which are designed for use without top coatings. Our evaluation is divided into several phases. Leaching levels of resist samples were first tested. The leaching data were analyzed and compared to our specifications. Both binary image mask and alternating phase-shift mask exposures were done to evaluate the process window, line-edge roughness, and resist pattern profile. Resist films were rinsed by DI water prior to or after exposure, and contrast curves were measured to investigate the resist sensitivity change. The results are compared with resist systems which use developer-soluble topcoats.
Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography
Successful developer-soluble topcoats have to fulfill numerous requirements; specifically they have to serve as a barrier layer and be compatible with the resist. Some of the requirements and compatibility issues have been understood; others are still under-investigation by the joint efforts of lithographers and resist chemists. This paper addresses these requirements from the perspective of overall lithographic performance for developer-soluble topcoats used in 193nm water immersion lithography. We demonstrate that with the optimized combination of resist and developer-soluble topcoat 90nm 1:1 dense lines can be printed using a prototype tool, ASML AT 1150i, and a binary image mask (BIM) with a maximum depth-of-focus (DOF) of ~1.2μm. An approximate 2X DOF improvement over dry lithography that was theoretically expected has been truly demonstrated. Topcoat related defectivity as well as defect reduction efforts are also discussed.
Performance of a dry 193nm resist under wet conditions
193 nm immersion lithography is rapidly moving towards industrial application, and an increasing number of tools are being installed worldwide, all of which will require immersion-capable photoresists to be available. At the same time, existing 193 nm processes are being ramped up using dry lithography. In this situation, it would be highly advantageous to have a single 193 nm resist that can be used under both dry and wet conditions, at least in the initial stages of 45nm node process development. It has been shown by a number of studies that the dominant (meth)acrylate platform of 193 nm dry lithography is in principle capable of being ported to immersion lithography, however, it has been an open question whether a single resist formulation can be optimized for dry and wet exposures simultaneously. For such a dry/wet crossover resist to be successful, it will need to make very few compromises in terms of performance. In particular, the resist should have similar LER/LWR, acceptable process window and controlled defects under wet and dry exposure conditions. Additionally, leaching should be at or below specifications, preferably without but at very least with the use of a top protective coat. In this paper, we will present the performance of resists under wet and dry conditions and report on the feasibility of such crossover resists. Available results so far indicate that it is possible to design such resists at least for L/S applications. Detailed data on lithographic performance under wet and dry conditions will be presented for a prototype dry/wet crossover L/S resist.
Immersion II
icon_mobile_dropdown
Materials and process parameters study on ArF immersion defectivity
Shinichi Kanna, Haruki Inabe, Kei Yamamoto, et al.
The immersionspecific watermark defect is discussed in its formation mechanism and in the influence of materials and exposure process. The non-topcoat approach was the basis of the work, where the properties of resist surface itself played the key role. Water droplets left on the resist surface were considered to induce the watermark defect in two possible ways; (1) the droplet is carried over to PEB process and impact the resist properties under the heat, (2) the droplet already evaporates before the PEB leaving some residue on the surface. A notable reduction in the resist dissolution rate was observed in the former case, which could be due to either physical or chemical change in the resist materials triggered by the water, and thereby would result in an unavoidable patterning failure. Therefore it is essential not to leave any water droplets on the surface in preventing the watermark formation. A very much hydrophobic materials design was proven effective in achieving this. The watermark formation was correlated to the scanning speed of immersion showerhead and the defectivity was evaluated in this perspective. The receding contact angle of the resist surface was found to well correlate to the "allowable" scanning speed, and was concluded that the higher was the better. A resist material was newly designed by using a hydrophobic polymer on this basis and the resist demonstrated a promising results not only in the watermark defectivity but also in lithographic performance.
Defect studies of resist process for 193nm immersion lithography
Tomoyuki Ando, Katsumi Ohmori, Satoshi Maemori, et al.
193nm immersion lithography is the most promising lithographic technology for the semiconductor device manufacturing of 65nm node and below. The advantage of 193nm immersion lithography is the possibility of wider depth of focus (DOF) and higher resolution through the hyper NA lens design greater than 1.0(1-3). In this paper, we investigated the topcoat material film characteristics and evaluated its performance to determine the chemical properties needed for a practical level. The stage scan speed capability evaluation, which is one of the best available method to test the suppression or generation of small water droplet remains on the topcoat film at high-speed stage scan during immersion exposure, was used. And finally we investigated the defectivity of topcoat process utilizing the Nikon EET. The static and dynamic contact angles of water droplet were investigated to characterize the topcoat material. The tilting sliding and receding angle, the contact angle of water droplet at the dynamic state, were important parameters to characterize the topcoat materials and have good correlation to wafer stage scan speed capability and immersion defect count reduction.
High-refractive-index fluids for the next-generation ArF immersion lithography
ArF immersion lithography using a high-refractive-index fluid (HIF) is considered to be a promising candidate for the 32nm node or below. At SPIE 2005 we introduced a new immersion fluid, JSR HIL-1, which has a refractive index and transmittance of 1.64 and >98%/mm (193.4nm, 23 oC), respectively. With HIL-1 immersion and a two beam interferometric exposure tool, hp32nm L/S imaging has been demonstrated. In this paper, we will report another novel immersion fluid, HIL-2, which has a transmittance of >99%/mm, which is almost as high as that of water, and a refractive index of 1.65 (193.4nm, 23 oC). Furthermore, an ArF laser irradiation study has shown that the degree of photodecomposition for both HIL-1 and HIL-2 is small enough for immersion lithography application. A "fluid puddle" defect study confirmed that HILs have less tendency to form immersion-specific photoresist defects and the refractive indices of HILs were found constant under laser irradiation. Batch-to-batch variation in refractive index during manufacture of HILs was not observed. By refining prism designs, hp30nm L/S patterns have also been successfully imaged with two interferometric exposure tools and HIL immersion.
New high-index fluids for immersion lithography
Immersion lithography at 193nm has rapidly evolved from a novel technology to the top contender for the 45nm device node. The likelihood of immersion implementation in semiconductor manufacturing has raised interest in expanding its capabilities. Extending resolution requires immersion fluids with higher refractive indices than those currently available. We have therefore sought substances which, when added to water, increase the refractive index at 193nm without increasing the absorbance and viscosity beyond acceptable limits. This work explores the relationship between index of refraction and absorbance, with specific focus on the identification of fluids that have a high index and low absorbance. The majority of the fluids studied either have prohibitively high absorbance values or material properties that would be incompatible with current fluid handling systems. However, a class of methylsulfonate salts was identified with optical and material properties approaching the target values. Fluid testing and imaging is included to confirm the resolution enhancing capability of these new high index fluids.
Amplification of the index of refraction of aqueous immersion fluids with crown ethers: a progress report
Juan López-Gejo, Joy T. Kunjappu, Nicholas J. Turro, et al.
There is a current need for high refractive index (RI) materials that can be used in aqueous systems for improving 193 nm immersion photolighography. Although heavy metal salts such as Ca2+ and Ba2+ have the potential to substantially increase the RI of aqueous solutions, the water solubility of these salts with common anions is often too low to achieve concentrations that significantly increase the RI to the desired values. We have therefore investigated the use of crown ethers to enhance the solubility of these cations. Most of the crown ethers are soluble in water, environmentally benign and commercial and inexpensive materials. Details of the preliminary studies on the proposed model system are presented in this paper. 15-crown-5-ether and 12-crown-4-ether are liquids at room temperature and therefore can be used as neat liquids as immersion fluids without dilution in water. Saturation of crown ethers with inorganic salts do not lead to any increase of the refractive index due to the low solubility of those in such an apolar media. Thus, the use of inorganic salt as refractive index enhancement agent does not seem to be a desirable proposition in the present case. Instead, the use of crown ethers or their derivates can be alternative system since these compounds have properties, such as density, viscosity and boiling point, similar to aqueous media.
Immersion topcoat and resist material improvement study by using immersion scanner
Hiroki Nakagawa, Kenji Hoshiko, Motoyuki Shima, et al.
ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. Immersion lithography enables the design of hyper numerical aperture (NA>1) lens systems by filling the gap between lens and resist with an immersion medium. Water is the ideal medium for 193nm immersion lithography and the water immersion system could reach up to 1.3 NA, giving higher resolution capability. There are several immersion specific requirements such as scanning properties and leaching characteristics. High speed scanning is necessary for mass production, so the water has to follow the lens and move on the resist film at considerably high speed. Direct water contact with resist film can cause the leaching of some resist components e.g. PAG. This leaching phenomenon could cause lithographic performance degradation and lens damage. Leaching and scanning phenomena are quite complicated and difficult to estimate the amount exactly with chemical analysis tools, so it is important to check the lithographic performance and scanning capability with a real immersion scanner. We have done many immersion experiments on various resists and top-coat materials using a Nikon immersion scanner (EET: Engineering Evaluation Tool). From the results, it was found that the properties of topcoat materials were closely related with immersion characteristics, such as scanning speed and defect formation. Specifically, defectivity evaluation results revealed that PAG leaching suppression was important for not only preventing lens damage but also reducing defect formation in the immersion process.
Resist Materials
icon_mobile_dropdown
Development of new resist materials for 193-nm dry and immersion lithography
We earlier developed new monocyclic fluoropolymers (FUGU) for F2 resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100oC). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.
Non-ionic photoacid generators for chemically amplified photoresists: structure effect on resist performance
As reported previously, we developed a novel oxime sulfonate type of photoacid generator (PAG), 2-[2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoro-1-(nonafluorobutylsulfonyloxyimino)-heptyl]-fluorene (DNHF), which generates a strong acid (nonaflic acid) by light irradiation and is applicable to chemically amplified ArF photoresists. Recently we have prepared two DNHF analogues with different fluoroalkyl chains adjacent to the oxime moiety, 2-[2,2,3,3,4,4,4-heptafluoro-1-(nonafluorobutylsulfonyloxyimino)-butyl]-fluorene (HNBF) and 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-fluorene (ONPF), and investigated the structure effect. The change of the fluoroalkyl chain did not have a strong impact on photo-efficiency and transparency at 193 nm and these PAGs demonstrated superiority to triphenylsulfonium nonaflate (TPSNF) with respect to these criteria. On the other hand, different behaviors were observed in the coating property and contact angle measurement of the photoresists containing these PAGs. The hydrogen atom at the end of the fluoroalkyl chains of DNHF and ONPF was found to have a role in improving the coating property. These non-ionic PAGs were less risky for contamination on the surface of the lens due to insolubility in water. In addition, the effect by these PAGs on increasing hydrophobicity of the photoresist surface was identified. These results suggest that the newly developed non-ionic PAGs are suitable for ArF immersion lithography.
Negative-tone polyphenol resist based on chemically amplified polarity change reaction with sub-50-nm resolution capability
Kyoko Kojima, Takashi Hattori, Hiroshi Fukuda, et al.
We designed a novel chemically-amplified negative-tone molecular-resist compound of 3M6C-MBSA-BL, which is a γ-hydroxycarboxylated polyphenol (4,4'-methylenebis[2-[di(2-methyl-4-hydroxy-5-cyclohexylphenyl)] methyl]phenol (3M6C-MBSA)) for EB and EUV lithographies to be used in hp 45 and beyond technology nodes. After selection of photo acid generators (PAGs) and optimization of the concentration of PAG in the resist, we could demonstrate 40-nm line and space patterns resolution by EB exposure. Also dry-etching durability and 1-month shelf life at -20oC were confirmed. Small line-edge roughness (LER) values of 4.5 nm (inspection length: L = 620 nm) and 6.2 nm (L = 1800 nm) were achieved using the 3M6C-MBSA-BL resist.
Synthesis of high refractive index sulfur containing polymers for 193 nm immersion lithography: a progress report
Idriss Blakey, Will Conley, Graeme A. George, et al.
To be able to extend the 193 nm immersion lithography technology platform, the development of high refractive index immersion fluids and resists is required. This paper reports our investigations into generating high refractive index polymers for use in photoresist formulations for 193 nm immersion lithograph. In this study a series of model compounds have been screened for refractive index and transparency at 589 nm and 193 nm. For the compounds studied this series of experiments demonstrated that sulfur-containing compounds have a positive effect on the refractive index of a molecule at 589 nm. However, the situation is complicated by the presence of absorption bands for some small molecules in the low waveleingth region. To demonstrate this, we examined the refractive index dispersion of a series of molecules based on ethyl acetate with varying degrees of sulfur substitution. These results indicated that an anomalous increase in refractive index could be expected 20 - 30 nm above the absorption maximum. The implications for design of high refractive index resists for 193 nm immersion lithography are discussed.
Reactivity of model compounds of ArF immersion, ArF, and KrF resists with diphenylsulfinyl radical cation, a cage-escape product of photochemistry of triphenylsulfonium salts
Yoshinori Matsui, Hidekazu Sugawara, Shou Tsuji, et al.
Reactivity of diphenylsulfinyl radical cation (Ph2S.+) with model compounds of "dry/wet" ArF resists and KrF resists was investigated by pulse radiolysis technique, in order to reveal the reaction between Ph2S.+ and a polymer. Ph2S.+ is an intermediate of photolysis of triphenylsulfonium salts. Some Ph2S.+ react with other intermediate of phenyl radical, leading to the formation of (phenylthio)biphenyl products and proton (H+). The reaction, referred to as rearrangement reaction, is mainly responsible for acid generation. However, some Ph2S.+ react with a polymer. Acid-generation efficiency might be enhanced if H+ is also formed by this reaction. Among 25 model compounds employed, Ph2S.+ was scavenged by phenolic compounds which have lower electrochemical half wave reduction potential (E1/2) than that of Ph2S. However, resulting radical cations of the phenolic compounds were not observed. Ph2S.+ was not scavenged by the other compounds with higher E1/2. Decay rate constant of Ph2S.+ scavenged by additives clearly depends on the change of E1/2 between Ph2S and the additives including pyrene from which charge transfer was observed to Ph2S.+. The reaction is therefore charge transfer between the model compounds and Ph2S.+. The resulting radical cations of phenolic compounds are known to decompose to phenoxy radical and proton (H+), suggesting that the compounds contribute to the enhancement of acid-generation efficiency in a chemically amplified resist. From the viewpoint of reaction of Ph2S. + with resists, conventional polymer of KrF resist is therefore appropriate for H+ source. Phenolic compounds are generally not appropriate for the component of "dry/wet" ArF resists because of their strong absorption at 193 nm. However, the electron proved to be transferred from fluorinated methylphenols to Ph2S.+. Fluorinated methylphenols may have a potential for candidate of resist components improving acid generation in "dry/wet" ArF lithography.
All-organic non-PFOS nonionic photoacid generating compounds with functionalized fluoroorganic sulfonate motif for chemically amplified resists
Nonionic photoacid generators (PAGs) based on photosensitive fluoroorganic sulfonate esters of imide and nitrobenzyl have been prepared and characterized. These new compounds produce fluoroorganic sulfonic acids that contain very few fluorine atoms (non-PFOS), which make them attractive PAGs for all advanced and emerging lithography. The structural influence of these new PAGs on sensitivity, resolution and line edge roughness (LER) was investigated by using DUV (254 nm) and e-beam lithography with ESCAP and ACRYLIC type positive tone resists. E-beam lithography evaluation indicates that these new fluroorganic sulfonic acids are sensitive and capable of providing image profiles down to 80 nm. The variation observed in sensitivity and LER at e-beam lithography was analyzed in terms of the structures of the photogenerated acids, chromophores and resists.
ARC/Multilayer Processes
icon_mobile_dropdown
Silicon containing polymer in applications for 193-nm high-NA lithography processes
The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC. To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.
Spin-on hard mask with dual-BARC property for 50-nm devices
Jung Hwan Hah, Yun Sook Chae, Yun-Kyeong Jang, et al.
ArF lithography is in the early stage of mass production and also is going to be further extended to 40nm generation with the aid of immersion lithography. Therefore, it is important to make ArF process production-friendly and extendible for the continuous shrinkage of design rule. Development of ArF process has proceeded with the increase of numerical aperture (NA) and the decrease of resist thickness, which are causing several problems both in mass production and development stage. NA is going to exceed unity in immersion, which necessitates the use of dual bottom antireflective coating (BARC) with increased process complexity and cost. Resist thickness, on the other hand, is expected to further decrease below 100 nm. Therefore, it is inevitable to use additional hard masks, which increases production cost due to chemical vapor deposition (CVD) process. Here we disclose our novel spin-on hard mask system with dual BARC property to overcome both problems aforementioned. Spin-on hard mask composed of two layers of siloxane and carbon materials shows high etch selectivity between thin resist and several substrates. Composition and etch chemistries of two layers are intensively studied to give CVD-comparable step-by-step etch selectivity to transfer various patterns of thin resist including line/space and contact holes to the various substrates. In addition, optical properties of two layers are finely designed from comprehensive optical simulation to be applied to various generation of ArF lithography from dry to immersion process. Such designed optical properties are incorporated to the above two layers of spin-on hard mask. This novel system is under extensive optimization to be applied to various generation of ArF lithography from mass production to the most pioneering semiconductor devices utilizing immersion lithography.
A novel switchable BARC (SBARC) and process to improve pattern collapse and defect control
Ching Yu Chang, D. C. Yu, J. H. Chen, et al.
To optimize the anti-reflectant material (BARC) in 193nm resist processes requires a careful manipulation of the surface energy of the BARC. In general, the surface energy of the BARC is constant in the unexposed and exposed areas. We have developed a new material with a "switchable" contact angle (SBARC) whose key criteria are as follows: (1) High contact angle at about 70 degrees in the unexposed areas under the resist to prevent developer and water penetration; (2) Maximized adhesive of the SBARC to the resist. (3) Contact angle less than 50 degrees in the exposed areas at the BARC surface to reduce the density of satellite-type defects. The low contact angle in the exposed areas reduces the adhesive forces between the hydrophobic resist residues and the more hydrophilic SBARC surface and thus lowers defects. In addition, the hydrophilic SBARC surface can reduce water drop residues and therefore reduce watermark defects. This paper will also describe our process work to optimize the contact angle of unexposed and exposed BARC surface to reduce pattern collapse and minimize satellite defects. We will also discuss a few methods to improve the surface condition of the SBARC to maximize adhesive forces. Further optimization of the develop process and the refractive index and the absorption coefficient of the SBARC, will provide even better collapse margin for 193-nm resists than the present baseline.
The effects of etch chemistry on the etch rates of ArF BARC products
As the feature sizes of integrated circuits shrink, highly anisotropic etching process (i.e., ion-assisted plasma etch, or reactive ion etch (RIE)), becomes even more essential for successful pattern transfer in the fabrication of semiconductor devices. The stringent 193 nm lithography process necessitates the use of bottom anti-reflective coating (BARC) for controlling reflections and improving swing ratios. Prior to RIE of a patterned wafer, the BARC layer must first be opened to allow pattern transfer from the resist mask to the underlying films. As we enter the era of sub-90nm imaging, minimum loss of the photoresist during the BARC open step is becoming more critical, since the demand for higher optical resolution dictates the use of ever thinner resist films. This in turn requires higher etch rate of BARC materials. In this paper we report on the impact of etching gas chemistries on the etch rates of BARC materials. The correlation between the etch chemistry and BARC products will be discussed. Reactive ion etch rates for blanket BARC coatings and BARCs under resist patterns were measured. Etch rates of BARC products of various material compositions were measured with a typical ArF resist as reference. It is well known that the chemical composition and structure of organic materials essentially determine the etch rates under certain etch process conditions. The correlations between etch rates and BARC polymer chemistry are reported. Etch chemistries, (i.e. the chemical interaction of plasma reactive ions with BARC materials), may also have profound effects on etch rates. Here we report on results obtained using four etching gas chemistries to study how oxygen contents, polymerizing gases, and inert gas effect the etch rates of different ArF BARC products.
Two-layer anti-reflection strategies for implant applications
Douglas J. Guerrero, Tamara Smith, Masakazu Kato, et al.
A two-layer bottom anti-reflective coating (BARC) concept in which a layer that develops slowly is coated on top of a bottom layer that develops more rapidly was demonstrated. Development rate control was achieved by selection of crosslinker amount and BARC curing conditions. A single-layer BARC was compared with the two-layer BARC concept. The single-layer BARC does not clear out of 200-nm deep vias. When the slower developing single-layer BARC was coated on top of the faster developing layer, the vias were cleared. Lithographic evaluation of the two-layer BARC concept shows the same resolution advantages as the single-layer system. Planarization properties of a two-layer BARC system are better than for a single-layer system, when comparing the same total nominal thicknesses.
Resist Processing
icon_mobile_dropdown
Evaluating resist degradation during reactive ion oxide etching using 193 nm model resist formulations
M. J. May, B. Mortini, C. Sourd, et al.
The weaker etch resistance of 193 nm resists1 is raising questions concerning their usability for the coming nodes as a single layer resist. We have found that 193 nm positive tone resists, that have been designed2 incorporating etch resistant groups like adamantyl or isobornyl3-7, exhibit chemical modifications concerning these grafted functions while undergoing an oxide etch step. Previously performed experiments have pointed out that the photoacid generator (PAG) that is still contained in the unexposed regions of the sacrificial layer might be a reason for the modifications in the chemical buildup of this resists. Therefore, this work has focused on evaluating the impact of reactive ion oxide etching8-10 on 193nm materials, for positive and negative tone chemically amplified resists. We used Thermo Gravimetric Analysis (TGA), Fourier Transformed Infra Red Spectroscopy (FTIR) and Atomic Force Microscopy (AFM) in order to check model formulations based on PHS, methacrylate or cyclic olefin polymers with various protecting groups having different activation energies and formulated with or without PAG and in order to understand the impact of the photoactive compound in the resist degradation behavior during plasma etch.
Reactive ion etching of fluorine containing photoresist
Kaushal S. Patel, Victor Pham, Wenjie Li, et al.
Photoresist are commonly used in semiconductor processing as soft masks for pattern transfer into multilayer stacks using reactive ion etching. The etch performance of the photoresist during such a process is an important consideration during resist selection. Since resist composition strongly influences it's etch performance, understanding the correlation is important for resist development as well as etch optimization. In this paper, blanket etch rate of photoresist used in ArF lithography were examined as a function of polymer structure and atomic content. In particular, the impact of Fluorine content on blanket etch rate was studied. Etch results for a diverse sample of development and commercial resists were first fitted with the Ohnishi parameter [2] and ring parameter [3] models. The Ohnishi model correlates the carbon, hydrogen and oxygen atomic content of the resist to blanket etch rate whereas the ring parameter model is based on the cyclic carbon content. Since some of the evaluated resists contained fluorine which significantly influences the etch performance, both models were found to be inadequate in assessing its impact. Thus we introduce a modified Ohnishi parameter model to help examine the relative contributions due to resist fluorine content. With the new empirical model, good fits were obtained for etch rates of a fluorine containing resists. The model also enabled analysis of the etch process to determine the relative influence of oxygen versus fluorine content in the resist on etch rate. Finally, the model was utilized to design newer etch resistant materials and the improved etch performance was verified.
Resist process window characterization for the 45-nm node using an interferometric immersion microstepper
Projection and interference imaging modalities for application to IC microlithography were compared at the 90 nm imaging node. The basis for comparison included simulated two-dimensional image in resist, simulated resist linesize, as well as experimental resist linesize response through a wide range of dose and focus values. Using resist CD as the main response (both in simulation and experimental comparisons), the two imaging modes were found nearly equivalent, as long as a suitable Focus-Modulation conversion is used. A Focus-Modulation lookup table was generated for the 45 nm imaging node, and experimental resist response was measured using an interferometric tool. A process window was constructed to match a hypothetical projection tool, with an estimated error of prediction of 0.6 nm. A demodulated interferometric imaging technique was determined to be a viable method for experimental measurement of process window data. As long as accurate assumptions can be made about the optical performance of such projection tools, the response of photoresist to the delivered image can be studied experimentally using the demodulated interferometric imaging approach.
New 193-nm top antireflective coatings for superior swing reduction
Wu-Song Huang, William H. Heath, Ranee Kwong, et al.
Reflectivity caused by topography on wafer stacks is very difficult to reduce with the use of thin antireflective bottom layers. A coating of top antireflective layer, with 1/4n wavelength of exposure source (193nm in this case), will create destructive interference between incident and reflected light. This destructive interference reduces the variation of energy deposited in resist of different thicknesses, which in turns, reduces the CD variation over topography. The optimum refractive index of this TARC layer, when coated over a resist with n value of 1.69, is around 1.3. Most currently available commercial TARCs, which are based on fluoropolymers, have an n value of 1.47 or higher. Even for TARCs with n value in this range, the swing amplitude is still in the >20% range. Besides the required low n value necessary for swing reduction, the TARC also should not affect resist image profiles. In this paper, we will discuss a newly developed TARC system which is different from the traditional approach in new TARC design. This new absorbing TARC system has demonstrated a superior capability of reducing CD swing, to less than 5%, without impacting resist image profile. This TARC system can provide a solution to the concern of CD variation over different topography on a wafer in device manufacturing.
A high productivity low defectivity develop process for 193nm lithography
George Mack, Steven Consiglio, Jeffrey Bright, et al.
Minimizing defectivity, improving critical dimension control and improving productivity continue to be key drivers for 300mm IC manufacturing. New and unique hardware and process solutions are required to meet both technology and production demands. IBM is evaluating a new and unique resist developer hardware process. The key elements of the new process are 1) the impact or contact of the developer is uniform on the resist surface. 2) defects due to slow dissolution and redeposition are reduced, 3) developer consumption is reduced up to 60% and 4) the process time is up to 40% shorter than common develop processes. This paper presents results of our evaluation of the new developer hardware and process, and demonstrates that this is a robust process exhibiting good CD control with low defectivity and high throughput.
Resist Processes and Simulation
icon_mobile_dropdown
Defect marginality screen for resists patterned in random bright-field layout
David Fryer, Vivek Singh, Andrew Muray, et al.
Resists with robust defect margins for bright field patterning are critical to high resolution lithography. In this paper, we present the application of analytical techniques to screen high resolution photoresists with reduced tendency to form side-lobe defects from diffraction in ePSM and chromeless APSM lithography. Resist candidates are compared based on a novel method to determine accurate high-contrast development etch rate curve data from diluted normality analysis combined with attenuated FTIR. The measured data is applied to determine parameters for aerial image and molecular level resist models which screen potential resists for performance in side-lobe suppression within random mask layout. Feature level prediction and experimental validation is discussed as well as general selection criteria for high resolution, low-defect liability resist materials for severe bright field ePSM and APSM lithography.
Minimizing wafer defectivity during high-temperature baking of organic films in 193nm lithography
Mai Randall, Christopher Longstaff, Kenichi Ueda, et al.
Demands for continued defect reduction in 300mm IC manufacturing is driving process engineers to examine all aspects of the apply process for improvement. Process engineers, and their respective tool sets, are required to process films at temperatures above the boiling point of the casting solvents. This can potentially lead to the sublimation of the film chemical components. The current methods used to minimize wafer defectivity due to bake residues include frequent cleaning of bake plate modules and surrounding equipment, process optimization, and hardware improvements until more robust chemistries are available. IBM has evaluated the Tokyo Electron CLEAN TRACKTM ACTTM 12 high exhaust high temperature hotplate (HHP) lid to minimize wafer level contamination due to the outgasing of a bottom anti-reflective coating (BARC) films during the high temperature bake process. Goal was to minimize airborne contamination (particles in free space), reduce hotplate contamination build up, and ultimately reduce defects on the wafer. This evaluation was performed on a 193nm BARC material. Evaluation data included visual hardware inspections, airborne particle counting, relative thickness build up measurements on hotplate lids, wafer level defect measurements, and electrical open fail rate. Film coat thickness mean and uniformity were also checked to compare the high exhaust HHP with the standard HHP lid. Chemical analysis of the HHP module residue was performed to identify the source material. The work will quantify potential cost savings achieved by reducing added wafer defects during processing and extending PM frequency for equipment cleaning.
Effect of top coat and resist thickness on line edge roughness
193nm immersion lithography might have to incorporate a top layer coat to prevent leaching and contamination. Additionally, immersion and future lithography will require lowering the photoresist thickness. It has been reported in literature that the diffusion coefficient of small acid molecules reduces as the resist thickness is reduced below 200 nm. The goal of this paper is to understand how, the use of a top coat, changing resist thickness and changing the substrate affect line edge roughness (LER). The study is conducted using dry 193 nm lithography. It was found that the use of a top coat helps to improve LER for 193 nm dry resist process. Improvement in LER with the use of top coat can be explained by a change in intrinsic bias of the resist. LER was also studied as a function of resist thickness, by changing resist thickness from 790 Å to 2200 Å. It was found that LER is a strong function of resist thickness. At thickness less than about 1300 Å, LER increases, with a more pronounced effect as resist thickness is decreased further. LER was also studied as a function of substrate. Two substrates, organic bottom anti-reflection coating (BARC) and an inorganic silicon oxynitride film (SiON), were used in the present study. For ultra-thin resist films, less than 1300 Å thick, it was found that the SiON substrate produced greater LER compared with the organic BARC substrate. The data compiled provides a fundamental understanding of LER behavior and will eventually help in better control of LER for future generation devices.
Mechanistic model of line edge roughness
Physically-based photoresist models, such as those in PROLITH, have been very successful in describing photolithography from a continuum standpoint. These models allow engineers to accurately predict the final resist CD on the wafer and to analyze process robustness, such as calculation of focus-exposure process windows. However, as feature sizes continue to shrink, we are beginning to see yield-limiting phenomena that are due to the molecular nature of photoresist materials. One example of this is line-edge roughness (LER). LER is believed to be due to fluctuations during the exposure process (shot noise) and post-exposure bake (thermal diffusion and reaction). We present a model that explicitly takes into account the molecular nature of the photoresist during the exposure and post-exposure bake processes. We do this by writing a master equation that describes the probability that acid molecules are generated during exposure, and then describes the evolution of the acid, quencher, and blocking-group probability distributions during the bake process. We show how all the parameters in this model can be simply derived from the parameters in a calibrated PROLITH continuum model. Finally, we demonstrate prediction of LER from an accurately tuned PROLITH continuum model and compare the LER predictions with experimental results.
OPC of resist reflow process
One of the most critical issues for sub-100 nm patterning is patterning a fine contact hole. The resist reflow process is a good method due to its simplicity without the additional complex process steps and due to its efficient technique with the appropriate selection of the resist properties and the thermal loading process conditions. However, it is difficult to use this process to the production process because the optical proximity effect of thermal reflow is quite severe. In this study, the optical proximity effects before and after thermal reflow are described. Resist reflow process is modeled and simulated for a top-view image. For repeating contacts, thermal reflow bias is modeled and is compensated according to the form of contact type. Simulated results agree well with the experimental results in a small error range according to the baking temperature, time, and pitch size. The model-based OPC before thermal reflow is performed for 65 nm contact hole by using the simulated images, so that the possibility of thermal reflow for the formation of a sub-100 nm pattern is shown.
Resist Fundamental
icon_mobile_dropdown
Direct determination of photoresist composition changes during UV exposure
Frances A. Houle, Vaughn R. Deline, Hoa Truong, et al.
Exposure of photoresists to ultraviolet light results in outgassing of species that have the potential to contaminate surrounding optical surfaces. Of particular concern are silicon-containing products which cannot be cleaned and permanently detune optical coatings. Collection and identification of those species and quantification of the amounts formed is a difficult analytical problem because of the number and variety of products. We describe a general methodology for determining acidolytic decomposition pathways and absolute elemental composition changes induced in photoresists during exposure. Two silicon-containing 193 nm resists that differ in the mode of attachment of trimethylsilyl to the polymer have been investigated. Elemental abundances are measured in post-apply baked, exposed and post-expose baked films by secondary ion mass spectrometry (SIMS), thus probing volatile product formation from all photochemical and thermal decomposition pathways. Complementary data on primary thermal acidolytic deprotection pathways during post-exposure bake are obtained by mass spectrometry, enabling SIMS elemental abundance changes to be interpreted. The results show that decomposition of both the polymer protecting groups through room temperature acidolysis and the photoacid generator by photolysis lead to volatile product formation during exposure. Silicon bound through oxygen is acid labile while silicon bound through carbon is not, resulting in very low to no silicon outgassing from the latter polymer. Sulfur-containing products formed from PAGs outgas in significant amounts from the photoresists investigated, supporting recent mass spectrometric observations of sulfur outgassing by R. Kunz and coworkers.
Dissolution fundamentals of 193-nm methacrylate-based photoresists
The dissolution of partially deprotected chemically amplified photoresists is the final step in printing lithographic features. Since this process step can be tuned independently from the design of the photoresist chemistry, measurements of the dissolution behavior may provide needed insights towards improving line-edge roughness. We have studied the dissolution behavior of a model 193-nm photoresist, poly (methyladamantyl methacrylate), as a function of deprotection extent and developer strength. The kinetics of the dissolution process is followed using the quartz crystal microbalance technique. These photoresist films exhibit strong swelling without dissolution over a significant range of deprotection levels. At larger extents of deprotection, we observe a combination of swelling with dissolution. Additionally, we find that the degree of film swelling decreases with tetramethylammonium hydroxide developer concentration. These studies provide the insight needed to better understand the fundamentals of the dissolution of the photoresist - a key step in lithographic process.
Diffusion mechanism of water for immersion lithography
The interaction between water and resist film is a very important subject to be studied in order to establish the feasibility of 193-nm immersion lithography. The water diffusion into 193nm resist films was measured by using Quartz crystal microbalance method and it showed the slow saturation after the quick water uptake in the early stage of dipping in water. Diffusion coefficient was approximated by polynomial function of diffusion time. The water diffusion was well elucidated by the single variable of diffusion coefficient, which reflects the conditions of bake or pre-soak process and molecular properties such as molecular weight. The analysis is shown to be useful to evaluate the diffusion mechanism and to develop materials for immersion lithography.
Fundamental parameter extraction for a dry/immersion hybrid photoresist for contact hole applications
EPICTM 2330 photoresist is an imaging material designed for conventional dry and immersion ArF exposure, its formulation is optimized for contact hole features. Although it performs well in both imaging modes, with good profile, it is not known whether the presence of water impacts the materials fundamental imaging characteristics. Acid generation efficiency, characteristic acid diffusion length and dissolution rates are determined for EPIC 2330 under dry and immersion imaging conditions. The results show, that within measurement errors, no difference is observed for these fundamental parameters whether water is present during exposure, or not.
Fundamentals of the reaction-diffusion process in model EUV photoresists
More demanding requirements are being made of photoresist materials for fabrication of nanostructures as the feature critical dimensions (CD) decrease. For extreme ultraviolet (EUV) resists, control of line width roughness (LWR) and high resist sensitivity are key requirements for their success. The observed LWR and CD values result from many factors in interdependent processing steps. One of these factors is the deprotection interface formed during the post-exposure bake (PEB) step. We use model EUV photoresist polymers to systematically address the influence of exposure-dose on the spatial evolution of the deprotection reaction at a model line edge for fixed PEB time using neutron reflectivity. The bilayer consists of an acid feeder layer containing photoacid generator (PAG) and a model photoresist polymer, poly(hydroxystyrene-co-tert-butylacrylate) with perdeuterated t-butyl protecting group. The deuterium labeling allows the protection profile to be measured with nanometer resolution. The evolution of two length scales that contribute to the compositional profile is discussed.
Difference between initial distributions of proton and counter anion in chemically amplified electron-beam resist
Nanoscale resist topography such as line edge roughness (LER) or line width roughness (LWR) is the most serious concern in sub-100 nm fabrication. Although many factors have been reported to affect LER, the generation mechanism of LER is still unclear. It is well known that the slope of image contrast correlates to the degree of roughness. However, significant LER is sill observed in chemically amplified resists for electron beam (EB) lithography, which can produce a steeper slope of image contrast than photolithography. To make clear a cause of LER, the distribution of protons and counter anions generated in chemically amplified EB resists was investigated. It was found that counter anion distribution is significantly different from proton distribution. Counter anions are inhomogeneously distributed outside a relatively smooth edge of proton distribution. This is caused by the fact that acid generators can react with low energy (~ 0 eV) electrons. The inhomodeneous distribution of counter anions outside proton distribution is considered to contribute to LER formation in chemically amplified resists for EB lithography.
LER
icon_mobile_dropdown
Deconstructing the resist to probe innate material roughness
T. H. Fedynyshyn, R. F. Sinta, D. K. Astolfi, et al.
We have developed an AFM-based technique to measure intrinsic material roughness after base development. This method involves performing an interrupted development of the resist film and measuring the resulting film roughness after a certain fixed film loss. Employing this technique, we have deconstructed the resist into component materials and established that the PAG is a major material contributor of film roughness and that PAG segregation in the resist is likely responsible for nano-scale dissolution inhomogeneities. Small differences in PAG concentration as a result of standing waves in the resist can lead to large changes in surface roughness due to PAG or PAG-photoproduct segregation and the resultant non-linear change in nano-scale dissolution rates. The temperature dependence of the PAG segregation suggests that increased mobility of the PAG occurs due to a lowering of the film Tg during the deprotection process.
The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists
An understanding of acid diffusion-reaction in chemically amplified photoresists during the post-exposure bake (PEB) is critical for both critical dimension (CD) and line edge roughness (LER) control. Despite its importance, there remains insufficient understanding of the diffusion-reaction process. This is due in part to the complex interplay between diffusion and reaction where the deprotection of the resin modifies the local acid diffusivity which in turn changes the rate of deprotection. Here, we report the direct measurement of the reaction diffusion front at a model line edge from neutron reflectivity and Fourier transform infrared spectroscopy measurements. The photoacid generator size influences the reaction extent and breath of the deprotection profile. A larger photoacid results in a sharper deprotection profile and a shorter reaction length. Under the same post-exposure bake time and temperature, the smaller photoacid leads to a much broader deprotection profile. These measurements illustrate the complexity of the reaction-diffusion process.
Study of the effect of amine additives on LWR and LER
Francis M. Houlihan, David Rentkiewicz, Guanyang Lin, et al.
We will give an account of our investigation on structure property relationships of amines with regards to line width roughness (LWR) and line edge roughness (LER) of a 193 nm alicyclic-acrylate resist. Specifically, we have looked at basicity, molar volume and logD as factors which may have an influence of roughness of 80 nm 1:1 L/S features. For relatively hydrophobic amines (Log D > -1), the lower the hydrophilicity at acidic pH the greater the LER and LWR becomes. Specifically, in this range of Log D, more hydrophobic larger amines, with higher basicity, tend to give worse L/S feature roughness. For amines which are more hydrophilic, the relationship becomes more complex with some amines giving a lower LER while others do not. This appears to be predicated on a delicate balance between basicity, hydrophilicy and size.
The transfer of photoresist LER through etch
Adam R. Pawloski, Alden Acheta, Scott Bell, et al.
A method is presented to determine a transfer function for line edge roughness (LER) from the photoresist pattern through the etch process into the underlying material, such as a polysilicon gate. The image fading technique was employed to determine the dependence of photoresist LER on the image-log-slope (ILS) of the aerial image. From this initial condition in resist, LER after the etch process was measured in polysilicon and related to the ILS used to pattern the resist. From these two relationships, a transfer function could be derived to quantify the magnitude of LER that transfers into the polysilicon under layer from the photoresist. A gate layer type film stack and a 193nm resist system were employed. Results demonstrated that photoresist LER did transfer through the etch process. Increasing the resist LER increased the post-etch LER in polysilicon, and accordingly, minimizing resist LER minimized polysilicon LER. The etch process can reduce the magnitude of roughness in polysilicon over a range of mid and low spatial frequencies, however the extent of the roughness reduction diminishes as the resist LER reaches its minimum at large values of the ILS. In addition, resist trim rates during etch were apparently increased when LER of the resist was large. These results demonstrate that post-etch LER in polysilicon may be limited by the minimum LER achievable in resist, despite the occurrence of apparent smoothing mechanisms through the etch process.
Changes of chemical nature of photoresists induced by various plasma treatments and their impact on LWR
Hiroichi Kawahira, Nobuyuki N. Matsuzawa, Eriko Matsui, et al.
Changes in chemical nature of an ArF photoresist caused by various plasmas were analyzed, and it was found that the HBr plasma treatment induces a selective detachment of a heterocyclic unit of the photoresist, and the detached unit remains in the photoresist film. Thermomechanical analyses were performed, which showed that the softening temperature of the photoresist decreases by the HBr treatment, indicating that the detached heterocyclic unit acts as a plasticizer in the photoresist film. These results showed that the HBr treatment can be regarded as a softening process of the photoresist. This HBr treatment was applied to the fabrication of line patterns and it was shown that the treatment remarkably improves LWR (line width roughness) after etching. This improvement was more pronounced for the case of an isolated pattern than the case of a dense pattern. Further investigations on the HBr treatment were performed by changing the copolymerization ratio of a monomer containing the heterocyclic unit. It was shown that the reduction of LWR by the HBr treatment becomes more enhanced when the copolymerization ratio increases. However, an intensive HBr treatment was found to deteriorate LWR, showing that there is an optimum condition of the HBr treatment in terms of improving LWR.
NGL
icon_mobile_dropdown
Vinyl ether resist system for UV-cured nanoimprint lithography
Hiroshi Ito, Frances A. Houle, Mark W. Hart, et al.
Cationic curing of vinyl ethers for step-and-flash nanoimprint lithography is described. Photochemical acid generators for use in the vinyl ether formulation were carefully selected on the basis of their solubility in neat lipophilic vinyl ether. Our favorite acid generators include diphenyltolylsulfonium triflate, CGI261, CGI1905, CGI1906, and CGI1907. The CGI1900 series is sensitive to i-line irradiation while the former two can be sensitized to 365 nm radiation by adding 9-anthracenemethanol. Phenothiazine is also an effective i-line sensitizer of the sulfonium salt. A major problem associated with the vinyl ether curing material is poor storage stability and the formulation rapidly solidifies at room temperature. However, it has been found that anthracenemethanol can stabilize the sulfonium salt and CGI formulations against the aging. Phenothiazine extends the shelf life of the sulfonium salt system but violently reacts with the CGI PAGs. Volatility of the vinyl ethers was measured by thermogravimetric analysis at room temperature. Photochemical curing of the formulations was investigated by FT-IR and also by differential scanning calorimetry (DSC) equipped with a UV lamp. The photo-DSC analysis was particularly useful in ascertaining the cure kinetics and the efficacy of the sensitization. Preliminary imprint experiments successfully printed 50 nm dense features.
Adhesion between template materials and UV-cured nanoimprint resists
Frances A. Houle, Eric Guyer, Dolores C. Miller, et al.
The origins of defects in lithographic stencils fabricated by the UV-cure nanoimprint technique include fundamental surface interactions between template and resist in addition to the presence of particles and contaminants. Repeated, molecularly clean separations of the template from the newly cured resist is a requirement, yet rather little is understood about the separation process or underlying interfacial physics and chemistry. We have investigated the chemical and physical interactions of several model acrylate nanoimprint resist formulations cured in contact with clean and release-treated quartz surfaces, then separated from them. The results show that fracture energies are resist formulation-dependent, that the resist-release layer systems studied are not chemically stable and that release process is more complex than simple fracture at a glass-organic interface.
Overcoming pattern collapse on e-beam and EUV lithography
In this study we investigate the pattern collapse mechanism of dense patterns with resolution under 60nm printed in Extreme Ultra Violet (EUV-IL) and Electron Beam Lithographies (EBL). Pattern collapse occurs when physical properties of the material can't imbalanced the capillary force exerted on the pattern during the drying of the rinse liquid. In former simulation models, the height of the pattern at which collapse occurs (critical height, Hc) was predicted using either elastic deformation properties, or plasticizing limit value of the resist. Experimental observations of unstuck patterns, lead us to develop 2 new models considering the adhesion properties of the resist film on the substrate. By comparing simulated to experimental results for varying pattern pitchs printed in 2 Chemically Amplified Resists (CARS), we show that pattern collapse behaviour of EUV-IL and EBL patterns is not only ruled by rigidity or strength of the resist but can be perfectly described with equation defining the unsticking of a non bending pattern. Finally by using surfactinated solution on sub-60nm dense patterns, great improvements in Hc values and increase of process window latitude are shown. However, due to larger capillary force, this efficiency decreases with pattern pitch and appears limited on patterns width smaller than 40 nm.
Molecular glass resists for next generation lithography
In order to meet the growing demand of the electronics industry for smaller, higher resolution features much recent attention has focused on next generation lithographic techniques, such as Extreme Ultraviolet (EUV) or e-beam lithography. Complementary to this field of research is the design of the next generation of photoresists to produce sub 50 nm feature sizes. Chemically amplified molecular glass resists are among the most promising alternatives to traditional polymeric materials. These materials are monodisperse, amorphous organic molecules which lead to high resolution patterns with low line edge roughness owing to their small size and lack of chain entanglement. In this submission, we describe our work in the development of molecular glass resists. The materials are designed with rigid cores, to ensure high Tg, and with bulky side groups to inhibit crystallization. We show that these materials are capable of producing high resolution feature sizes and show great promise in meeting the demands of emerging next-generation lithographic techniques.
Effects of material design on extreme ultraviolet (EUV) resist outgassing
Optics contamination is a huge concern for extreme ultraviolet (EUV) lithography. In efforts to protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH has initiated a resist outgassing program to screen new resists and to learn outgassing characteristics using model compounds. This paper presents outgassing data for commercial resists as well as resists made by university researchers. Several resists made at the University of North Carolina at Charlotte (UNCC) were measured, including polymer-bound photoacid generator (PAG) resists such as poly (HOST-co-EAMA-co-PAG). Previous papers have reported that a large portion of outgassing is due to PAG fragments and deblocking groups. The UNCC resists outgas an order of magnitude less than most commercial resists tested by SEMATECH. This may be due to the low diffusion of the acid-cleavable adamantyl groups after exposure. In addition, fewer PAG species outgassed in the polymer-bound PAG resist than in blend PAG resists.
Novel Processes and Applications
icon_mobile_dropdown
Nanolithography in thermally sacrificial polymers using nanoscale thermal probes
Yueming Hua, Shubham Saxena, William P. King, et al.
This paper reports a novel lithography method that utilizes local nanoscale thermal decomposition of polycarbonate films using heated atomic force microscope cantilever probe tips. The effect of polycarbonate structure and physiochemical properties on the lithographic performance of the thermal writing process have been explored. It is observed that amorphous linear polycarbonates which possess glass transition temperatures lower than their decomposition temperature generally exhibit substantial thermal deformation during thermal writing. In contrast, thermal writing on crystalline regions of semi-crystalline linear polycarbonate films produced good pattern definition. However, the semi-crystalline nature of the film results in substantial surface topography in the thin film which is undesirable for high resolution patterning and the amorphous regions of the film still suffer from local thermal deformation during writing. Amorphous cross-linkable polycarbonate sacrificial polymers have been synthesized and are shown to be able to resist thermal deformation of features during writing and are shown capable of producing good patterned images using the heated AFM probe writing technique.
Novel low-dielectric constant photodefinable polyimides for low-temperature polymer processing
Kazuhiro Yamanaka, Michael Romeo, Kazuhiko Maeda, et al.
Current photosensitive polyimide formulations that can be developed in aqueous alkaline developers are based on the use of either (1) soluble poly(amic acid) precursor polymers or (2) polyimides functionalized with hydrophilic groups (e.g. phenol). The use of poly(amic acid) polymers requires the subsequent high temperature thermal cyclization of the polymer after imaging to produce the desired polyimide which can prevent ue of such materials in many applications. However, the use of pre-imidized poliyimides by imparting solubility with hydrophilic groups also is problematic since the presence of such groups in the polymer generally degrades the dielectric constant and water uptake performance of such materials. The goal of the work in this paper was to overcome these problems by developing new low dielectric constant polyimides that can be formulated into photo-definable materials and processed at low temperatures. In this work the use of a novel hexafluoroisopropanol (HFA)-substituted diamine to synthesize novel poly(amic-acid) and polyimide polymers is reported. The addition of HFA to the polymers is shown to produce polyimides which are soluble in both common casting solvents and 0.26 N TMAH alkaline developers. A photosentitive polyimide composition based on formulation of the HFA-subtituted polyimide with 20 wt% of a DNQ inhibitor is shown to produce high resolution patterns with a sensitivity of 170 mJ/cm2 and a contrast of 1.32 using I-line exposure. The HFA groups in the polymers are contained on a substituent group attached to the main chain by an ester linkage. It is shown that these HFA-substituent side-groups can be easily removed from the polymer after development of the patterned image by thermal treatment of the polymer at temperatures above 280 oC. The cleavage of the HFA side groups produces a polymer which does not swell and is insoluble in aqueous alkaline developers. Polyimide film properties including dissolution rate, dielectric constant, thermal expansion coefficient (CTE), water absorption have been measured and are shown to be strongly dependent on the presence of the HFA side groups. In particular, the dielectric constant of the polyimide is shown to decrease dramatically from 3.20 to 2.60 after thermal cleavage of the HFA side groups using a thermal cure at 350 oC for 30 minutes. In general, the strategy of using solubility enhancing functional groups that can be cleaved from the polymer during or after imaging and development appears to be a very promising strategy for developing photo-definable low dielectric constant polymers that can be processed at low temperatures.
Development of EUV resists in supercritical CO2 solutions using CO2 compatible salts (CCS)
Mark Wagner, James De Young, Chris Harbinson
Pattern collapse and line width roughness (LWR) are two issues expected to become increasingly important as feature sizes approach those expected from EUV lithography. The unique physical properties of supercritical CO2 (low viscosity, zero surface tension) may provide attractive solutions to these problems, but only if the limitations of CO2 (low polarity, low pH) can be overcome to give high contrast development of standard resists. This paper describes the use of novel CO2 compatible salts (CCS) in homogenous supercritical CO2 solutions to give highly effective reverse image development of a standard EUV resist. Results from a factorial DOE verify the robustness of this new process and indicate that temperature is the most important factor in determining development rate. The absence of surface tension in the homogenous CO2 solutions used for this development lead to substantially reduced pattern collapse and standing dense L/S features with aspect ratios >12. Development using CCS chemistry in CO2 is an anisotropic process, where CD of developed features can be controlled without affecting the resist film height. Plasticization of the amorphous resist polymer by CO2 during development contributes to the ~30% reduction in LWR for CCS samples versus standard TMAH development. The feasibility of scaling up CCS based development in CO2 from the small scale view cell into a 200 mm full wafer prototype tool has been demonstrated. A two-step process for resist development is proposed, along with a likely mechanism to account for the high level of contrast observed.
Optimization of dual BARC structures for hyper-NA immersion lithography
Nobuyuki N. Matsuzawa, Boontarika Thunnakart, Ken Ozawa, et al.
For the purpose of finding feasible dual-BARC (Bottom Anti-Reflective Coating) parameters for immersion lithography that do not depend on the polarization of light, illumination conditions and pattern sizes and pitches, comprehensive optimizations of the dual-BARC parameters were performed. A computational code was developed that performs automatic and comprehensive optimizations of dual-BARC parameters under any kind of conditions. Margins of dual-BARC parameters, which assure the substrate reflectance to be lower than a desired value, were also estimated by using the code. Dual-BARC parameters to minimize the substrate reflectance were successfully obtained for the BARC formed on a silicon oxide and nitride layer for cases of NA being 1.0, 1.1, 1.2 and 1.3 to 1.4. The thickness of the silicon oxide and nitride layer was varied from 10 to 200 nm. It was found that the dual-BARC concept works up to NA = 1.1 and 1.4 for the BARC on a silicon oxide and a silicon nitride layer, respectively, although for the case of the dual BARC on a silicon oxide layer, the range of the thickness of the oxide layer where the dual-BARC concept works is limited. In addition, for both of the cases of the dual BARC on silicon oxide and nitride, it was calculated that the top-layer of the dual BARC has to be extremely thin. Feasibility of using a layer structure consisting of a planarization and hardmask layer as a reflection-control structure was also examined. This showed that this concept can work up to NA = 1.2 and 1.4 for the case on silicon oxide and nitride, respectively. Finally, a routine to optimize graded-BARC structure was successfully implemented into our computational code. By using the routine, advantages of the graded-BARC concept over the dual-BARC concept in terms of suppressing substrate reflectance were demonstrated.
Double exposure technology using silicon containing materials
Sungkoo Lee, Jaechang Jung, Sungyoon Cho, et al.
Recently, a new technology called double exposure lithography is emerging as new technology that extends lithography factor under 0.25. The need of this technology comes from the delay in maturity of EUV technology such as light source, reflective mask and optics, and resist. However, double exposure technology requires additional processes including two hard mask deposition steps, one more lithography patterning step and two hard mask etching steps. In addition, there would be several issues such as patterning on topology problems for the case of second patterning and complexity in etching process. In brief, the complex process of double exposure technology should be simplified for real device production. In this paper, we will introduce novel double exposure technology that minimizes the number of process steps by using silicon containing BARC. Silicon BARC acts as BARC and hard mask at the same time in our double exposure process so the process step and cost can be reduced. During first exposure step, silicon containing BARC take a role of BARC for first resist patterning and then remaining pattern of silicon containing BARC acts as hard mask pattern for second patterning and etching. Using this simple and novel process, more economic way of double exposure technology can be available. In this paper, the issues and countermeasures for silicon containing BARC based double exposure technology will be reported.
Immersion Lithography Materials
icon_mobile_dropdown
Everything you ever wanted to know about why the semiconductor industry needs a high-refractive index photoresist but were afraid to ask: Part I
The lithography prognosticator of the early 1980's declared the end of optics for sub-0.5μm imaging. However, significant improvements in optics, photoresist and mask technology continued through the mercury lamp lines (436, 405 & 365nm) and into laser bands of 248nm and to 193nm. As each wavelength matured, innovative optical solutions and further improvements in photoresist technology have demonstrated that extending imaging resolution is possible thus further reducing k1. Several authors have recently discussed manufacturing imaging solutions for sub-0.3k1 and the integration challenges. Our industry will continue to focus on the most cost effective solution. What continues to motivate lithographers to discover new and innovative lithography solutions? The answer is cost. Recent publications have demonstrated sub 0.30 k1 imaging. The development of new tooling, masks and even photoresist platforms impacts cost. The switch from KrF to ArF imaging materials has a significant impact on process integration. This paper will focus on the need to increase the refractive index of ArF photoresist systems to enhance process capability for ultra-high NA's that are near the limitation of the immersion fluid. Data will be presented demonstrating the impact of higher refractive index photoresist systems have on the further extension of ArF Immersion. Advanced RET's will be incorporated to further explore improvements in critical imaging levels along with dominant mask effects.
The effect of photoresist/topcoat properties on defect formation in immersion lithography
G. M. Wallraff, C. E. Larson, G. Breyta, et al.
The interaction of water with the photoresist film stack is proving to be a key factor in the current generation of 193-nm immersion lithography. Photoresist performance, CD control, optics lifetime, defectivity, overlay and possibly even tool throughput can all be affected by this interaction. Defect control has been an area of increasing concern as the source of the defects can be quite different than that found in conventional dry lithography [1]. Defects can originate from the UPW (Ultra Pure Water) either as particulates or as dissolved solids that precipitate from residual droplets left behind after scanning. Another source of defects can be particulates generated by the immersion fluid as it flows through the exposure tool or as a consequence of water contact with the resist film or resist/topcoat film stack. Recently there have been reports of printable defects due to stains or "watermarks" on the surface of the photoresist [2]. In this report we describe techniques for the visualization of watermarking and particulate formation on a variety of film surfaces. We also describe experiments testing the staining of a variety of water contaminants and additives and their effect on imaging performance. We will also describe the effect of different topcoats on imaging and defectivity in terms of their surface properties.
Poster Session Materials and Processes for Immersion Lithography
icon_mobile_dropdown
Studies on immersion defects using mimic immersion experiments
Top coat process is required for immersion lithography in order to prevent both the chemical contamination of scanner optics with eluted chemicals from resist material and the formation of residual droplet under the immersion exposure with high scanning speed. However, defect density of ArF immersion lithography with alkaline developer soluble type top coat material is much higher than that of ArF dry lithography. Mimic immersion experiments comprised of soaking of exposed conventional dry ArF resist with purified water followed by drying step were performed in order to study the immersion specific defects. It was suggested that the origin of immersion specific defects with alkaline developer soluble type top coat was the remaining water on and in the permeable top coat layer that might interfere the desired deprotection reaction of resist during post exposure bake (PEB). Therefore, application of post exposure rinse process that can eliminate the impact of the residual micro water droplets before PEB is indispensable for defect reduction. Post exposure rinse with optimized purified water dispense sequence was noticed to be valid for defect reduction in mimic immersion lithography, probably in actual immersion lithography.
Analysis of 193nm immersion specific defects
A great deal of research effort is focused on accelerating the development of 193-nm immersion lithography because it appears to be the most suitable lithographic solution available for 65-nm-and-below semiconductor devices. To realize a 193-nm immersion process, we must find ways to detect and analyze immersion specific defects, and then establish processes that let us avoid such defects. In this paper, we examine immersion specific defects and ways to detect and eliminate them in production processes. Through comparison of dry exposure and immersion exposure processes, we have found that "bridges" and "water-marks" are the most significant immersion specific defects using current developable top-coats. Although we confirmed that the current solvent-removable top-coat process is better for avoiding immersion specific defects, we also found that the defect density with a developable top-coat was still low enough for volume production. We also investigated the causes of immersion specific defects and hypothesized that DI water permeation and the local topology of the top-coat play an important role in the generation of immersion specific defects. To test whether this was so, we evaluated the change in the top-coat film thickness by the quartz crystal microbalance technique. We confirmed that top-coat swelling caused by water permeation into the top-coat film is a major cause of immersion
Pattern defect study using cover material film in immersion lithography
In immersion lithography, it is necessary that the surface of wafer has high hydrohybicity in order to prevent the residue of immersion fluid, i.e. pure water, that cause watermark defect. Usage of a cover material film over the resist film is effective to consistent with high hydrohybicity of the surface and high performance of resist film. But it was problem that much pattern deformation defects was observed with the use of an alkali-soluble type cover material film and an immersion exposure tool. As a result of the examination, it was identified that the fraction of film which caused the pattern deformation in the area of several micrometers were the fraction of the cover material. And the fractions of cover coat material were oriented in the coating defects of the cover material film and in the film peeling after scan of the immersion nozzle at the wafer bevel. The coating defects were improved with the chemical of the cover material. An adhesion process was effective to prevent the film peeling of cover material.
Improvement of watermark defect in immersion lithography: mechanism of watermark defect formation and its reduction by using alkaline-soluble immersion topcoat
Hiroki Nakagawa, Atsushi Nakamura, Hiroshi Dougauchi, et al.
ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. One of the serious issues in the immersion process for the commercial semiconductor production is the immersion-specific defects. Typical immersion-specific defects are nanobubble, watermark (W/M) defect, and degradation of pattern profile caused by resist components leaching. The nanobubbles, which exist in the immersion medium such as water, deform the optical image, and then cause the pattern profile degradation. Small water droplet left on the resist film after scanning exposure causes W/M defect. Leaching of resist component induces insufficient de-protection reaction at the resist surface region, then cause the T-top pattern profile or bridge type defect. Among these immersion-specific defects, the effective countermeasure against W/M defect has not been established yet, because the mechanism of W/M defect formation is not fully figured out. From the model experimental result, we have found that W/M defect formation depends on the characteristics of photoresist and topcoat materials. Then we have developed the new immersion topcoat which is soluble into aqueous TMAH developer, and this material provides practical solution for W/M defect reduction. In this paper, we will report the mechanism of W/M defect formation which is related to the characteristics of photoresist and topcoat material. Also W/M defect reduction process by using alkaline soluble immersion topcoat will be discussed in detail.
Adhesion and removal of micro bubbles for immersion lithography
Akira Kawai, Takayoshi Niiyama, Hotaka Endo, et al.
It is necessary to develop a nano-bubble detector similar as a conventional particle counter for reducing micro and nano defects caused by nano-bubble (NB) in immersion lithography. In this regard, we discuss adhesion and removal mechanisms of NB adhered on a resist surface for immersion lithography. The micro and nano bubbles are more likely to adhere to the micro defect on the resist surface and lens surface. Keeping cleanness of lens and resist surface is necessary in order to prevent the micro bubble adhesion. We employed the AFM (Atomic Force Microscope) for the observation of NBs on a Si substrate and a resist surface. The diameter and height of NBs observed are approximately 40~100nm and 3~8nm, respectively. By approaching the AFM tip onto the NBs, the repulsive force can be detected but the attractive force on the resist surface. The interaction analysis between the AFM tip and the ArF excimer resist surface is effective in order to identify the NBs and to distinguish from solid particles. These phenomena can be discussed on the basis of Lifshitz theory. The separation procedure of the NB is accomplished with the AFM tip. The applying load at which the NB can be separated into the minute one is approximately 5nN. In addition, by the thermodynamic analysis, it can be considered that the NB adhered on the resist surface tends to be a flat shape and spread on the resist surface. It is difficult to adhere the bubbles on the resist surface.
Trap mechanism of micro bubble in micro concave patterns
Akira Kawai, Tomotaka Ariga, Simpei Hori, et al.
The micro bubbles condense in the concave channel and are trapped at the channel corner. In the experiments, the deionized (DI) water is dropped on a dry film resist (DFR) pattern. In the result, the micro bubble condensed and trapped at the different position in various shape patterns. The removal of micro bubbles adhered on a resist pattern has been recognized as one important factor in micro device manufacturing. We explained the condensation behavior of the micro bubble based on thermodynamics. The force acting on the bubble is estimated based on the force balance model between buoyancy and line tension. We can control and predict the micro bubble condensation by designing micro pattern arrangement.
Solid defects condensation during watermark formation for immersion lithography
Takayoshi Niiyama, Akira Kawai, Simpei Hori, et al.
In immersion lithography technique, some defects such as a watermark and a nanoscale bubble have been focused as the serious problems to be solved. In order to clarify the formation mechanism of the watermark, the in-situ observation of the drying behavior of the water drop containing the particles and without the particles, are conducted on the Si substrates. In the static watermark formation on the flat substrate, we can classify the watermark formation processes based on the watermark shapes. From the surface energy balance analysis, the particles dispersed in the DI-water adhere on the Si substrate. In addition, from the Laplace force balance, the particles adhered on the Si substrate will attract the surrounding particles. Hence, we can clarify the formation mechanism of the static watermark condensed in the ring shape. Meanwhile, in the dynamic watermark formation, we can observe clearly the condensed watermark is formed on the Si substrate and the particles move to lower region in inclined drop. In actual immersion lithography system, we can discuss the particles are more likely to remain in the immersion liquid under the lens system.
Application of high-refractive index fluid to KrF-immersion lithography
Yuji Yada, Koji Ito, Yoshikazu Yamaguchi, et al.
This paper describes the material characteristics for KrF-immersion lithography with a high refractive index fluid. We have obtained promising results in soaking experiments involving KrF lithography without topcoat film. Although water is currently used as the immersion fluid in 193nm lithography, providing suitable refractive index (n=1.44@193nm and n=1.37@248nm) and transmittance (>99%/mm), it is found to have leaching issues when used with KrF resist. On the other hand, our high refractive index fluid (JSR-HIL-001), which was developed for ArF immersion purposes, satisfies the following requirements: HIL-001 has indicated promising characteristics as a 248nm-immmersion fluid. The refractive index is 1.54@248nm and the transmittance is >99%/mm. In this paper the physical and chemical properties of HIL-001 for KrF-immersion fluid application are discussed in detail.
Progress of topcoat and resist development for 193nm immersion lithography
193nm immersion lithography is the most promising lithography candidate for 45nm node technology and beyond. However, immersion specific issue, such as the immersion specific defect and the leaching of resists compound into immersion fluid, still exists without any effective countermeasure. To realize a productive 193nm immersion lithography process, we have to develop a cost effective material that might be immersion dedicated resist. In this paper, we investigated the leaching with different polymer protective agents and hydrophobicity. It was found that the leaching amount was strongly related to the activation energy of the protective agent and hydrophobicity of the polymer. Higher activation energy of protective agents and higher hydrophobicity of polymer showed less amount of leaching. In this paper, newly developed developable type topcoat TILCTM-031 demonstrated the excellent ability of immersion defect prevention.
Top barrier coating materials for immersion lithography and beyond
Immersion barrier coats were formulated and evaluated on ArF photoresist in view of interaction between photoresist and top coats. Acrylate polymers having an acid-labile protecting group, an acid group, and a polar group were synthesized to realize water barrier property and developability. To compensate the insufficient developability, thermal acid generator was included as an additive that can enhance the developability of the acrylate top coats by post exposure bake. In the course of the material evaluation, it became evident that carboxyl acid group in the top coat base polymers has great influence on photoresist profiles, and this result was fedback to a new acid group, deuterated carboxyl acid, that is suitable for both ArF wavelength and EUV wavelength. When top coat materials having deuterated carboxyl acid were applied on ArF photoresist, fine pattern profiles were confirmed. Further, an extension of barrier coating concept to EUV lithography as outgas barrier coats was examined on an EUV photoresists test sample. These outgas barrier coat materials do not include fluorine atoms, therefore, achieves good transparency at EUV wavelength.
Resolution enhanced top anti reflective coating materials for ArF immersion lithography
Jae-Chang Jung, Sung-Koo Lee, Keun-Do Ban, et al.
Recently, a new technology called ArF immersion lithography is emerging as a main stream of next generation lithography. However, the first problem of this technology is contamination issues that come from the dissolution of contaminants from the photoresist to the immersion liquid. The second problem is defect issue that comes from interaction between immersion liquid and resist. To solve these two problems, we have developed top antireflective coating (TARC) material. This TARC material can be coated on resist without damage to the resist property. In addition, this TARC material is easily developable by conventional 2.38 wt% TMAH solution. The reflective index of this TARC is adjusted to 1.55, so it can act as an antireflective material. To this TARC material for immersion, quencher gradient resist process (QGRP) was applied also. As a result, we could improve resolution and process margin. However, some of resists showed defects that were generated by this TARC material and QGRP. To solve this defect problem, we introduced buffer function to the TARC material. Thanks to this buffer function, we could minimize defects of resist pattern in immersion lithography.
Polymer structure modifications for immersion leaching and watermark control
Jae Woo Lee, Seung Keun Oh, Jung Woo Kim, et al.
Immersion materials have to overcome immersion-issues for successful wet process introduction to semiconductor mass production. Component-leaching issue is one of the most influential wet process huddles, which is related to immersion-liquid and projection lens contamination as well as resist patterning performances. In this paper, we will introduce our experimental results of leaching blocking effects resulted from the modification of polymer and additive structures and from the application of top surface blocking layers. PAG-leaching level of resist film formed of low Tg resin shows the highest meanwhile that of high Tg resin is the smallest leaching value. The interaction forces between additives and resin platforms are the most important to prevent additives leaching to immersion liquid. We have tested 3 different types of resin structures to modify the interaction forces between resin platform and resist components especially PAG molecules and photo-generated acid molecules. We changed 2-hydroxyethyl methacrylate(2-HEMA) contents to be 5, 10, 15% in our base resin, COMA-acrylate hybrid system to modify the hydrophilicity of resist platforms. By mimicking immersion process to obtain wet-performance of their resists we have obtained relative value of component-leaching. Interaction-force between resist platform and PAG was seemed to be largest when resist component-leaching is least so that the pattern profiles become to be vertical. It was appeared that the 5% 2-HEMA containing resin and TPS-Nonaflate PAG system showed the best performance because of its low leaching resulted from their strong interaction forces. Another polymer parameter to determine the component-diffusivity is glass transition temperature, Tg. Low Tg means high mobility of resin by small thermal energy due to high free volume contents inside of the resist film which can act as diffusion pathways of resist components. 10% MA resin system shows the lowest Tg, around 140 degrees C and the most serious T-topping profiles. Defectivity issue becomes more important in immersion process, which is most related to the partial leaching by residual water and resulted in watermark defects. We evaluated the relative easiness of watermark formation on different resist films by correlations between static and dynamic contact angles. We made 2 different resist films composed of 2 different water-repellent functional group monomers. Static contact angles of developer as well as DI water were measured. It was found indirectly that amphiphilicity of resist film surface makes positive effect on watermarks formation, that is, more hydrophobic and more developer-philic resist film remains less residual water droplets.
Low leaching and low LWR photoresist development for 193 nm immersion lithography
Nobuo Ando, Youngjoon Lee, Takayuki Miyagawa, et al.
With no apparent showstopper in sight, the adoption of ArF immersion technology into device mass production is not a matter of 'if' but a matter of 'when'. As the technology matures at an unprecedented speed, many of initial technical difficulties have been cleared away and the use of a protective layer known as top coat, initially regarded as a must, now becomes optional, for example. Our focus of interest has also sifted to more practical and production related issues such as defect reducing and performance enhancement. Two major types of immersion specific defects, bubbles and a large number of microbridges, were observed and reported elsewhere. The bubble defects seem to decrease by improvement of exposure tool. But the other type defect - probably from residual water spots - is still a problem. We suspect that the acid leaching from resist film causes microbridges. When small water spots were remained on resist surface after exposure, acid catalyst in resist film is leaching into the water spots even though at room temperature. After water from the spot is dried up, acid molecules are condensed at resist film surface. As a result, in the bulk of resist film, acid depletion region is generated underneath the water spot. Acid catalyzed deprotection reaction is not completed at this acid shortage region later in the PEB process resulting in microbridge type defect formation. Similar mechanism was suggested by Kanna et al, they suggested the water evaporation on PEB plate. This hypothesis led us to focus on reducing acid leaching to decrease residual water spot-related defect. This paper reports our leaching measurement results and low leaching photoresist materials satisfying the current leaching requirements outlined by tool makers without topcoat layer. On the other hand, Nakano et al reported that the higher receding contact angle reduced defectivity. The higher receding contact angle is also a key item to increase scan speed. The effort to increase the receding contact angle become very important issue for not only defectivity but also scanner throughput. Some of our experimental results along this line of study are also included in the report. The last topic covered is LWR (Line Width Roughness) as an essential leverage for performance improvement, especially for the smaller CD that immersion lithography is aiming to define. Our recent effort to find effect and working concept to reduce LWR with low leaching materials is also described.
Development of fluoropolymer for 193nm immersion lithography
We had already developed several series of fluoropolymers, FPRs and FUGUs, having a partially fluorinated monocyclic structure and having acidic hydroxyl group, which acts as dissolution unit into alkaline solution. Then we have optimized these polymers for top-coat as the developer-soluble type in the 193nm immersion lithography. However the hydrophobicity of these polymers were a little poor due to its hydroxyl group. So we thought that the introduction of water repellent moiety into the these polymers structure is effective to improve the their hydrophobicity though the increase of water repellent unit in the polymer leads to lower dissolution rate in developer. To introduce as much as possible of hydrophobicity unit, we selected FUGU as platform, which has larger dissolution rate in developer than that of FPRs, We copolymerized FUGU with higher water-repellent component and obtained three copolymers, FUGU-CoA, FUGU-CoB, and FUGU-CoC. In this paper, we described characteristics and evaluation of these polymers. Most of these polymer showed an improvement of hydrophobicity, in particular FUGU-CoB had excellent hydrophobicity due to introduction bulky containing-fluorine group. In this study, we also investigated the interaction between the water and various polymers by using QCM method. The difference between FUGU and water repellent polymers for swelling behavior to water became clear by analysis of diffusion coefficient. We found that our new co-polymers have excellent diffusion coefficient than FUGU which was confirmed by QCM method used to evaluate water permeability and water diffusion in the materials.
Novel fluorinated polymers for application in 193-nm lithography and 193-nm immersion lithography
Recently, many fluorine compounds are used widely in photolithography. We synthesized some novel fluorinated polymers for application in 193-nm lithography and 193-nm immersion lithography. Their fundamental properties were characterized, such as transparency at 193-nm (wavelength) and solubility in water and a standard alkaline developer. High transparency, i.e., absorbance better than 0.2 pm-' at 193-nm wavelength, was achieved. The dissolution behaviors of them were studied by using the Quartz Crystal Microbalance (QCM) method. Several polymers dissolved in water and showed high transparency and a low refractive index by a wavelength of 193-nm. These results show that their polymers were able to apply to top anti reflective coating (TARC). The dissolution rates of the fluoropolymers in water and a 0.262N can be controlled by optimizing counter monomers containing hexafluoroisopropanol (HFA) unit, carboxylic acid unit and so on. In addition, we have collect water contact angle and sliding angle data. This data shows that fluoropolymers can be used as top-coats for 193-nm immersion lithography resists.
The effect of water-contact and evaporation on the roughness of photoresist for immersion lithography
Sung Il Ahn, Jae Hyun Kim, Wang-Cheol Zin
The effect of water-contact time on the roughness increment of patterned photoresist (AZ5214) was investigated by AFM analysis and the reason for the roughness increment was studied by the gravimetric experiment and the ellipsometry method. New method for calculating RMS line edge roughness from AFM raw data and the model of immersion lithography for experimentation were established. From the gravimetric experiments, it was confirmed that the diffusion of water into photoresist film is ruled by Fick's law. It was suggested that the amount of the swelling which follows the diffusion of water would be the reason for the roughness increment during rapid evaporation of water. As a result, the roughness of both the patterned line edge and the surface were proportioned in the root of water-contact time at the initial time and it was the same as the results in previous gravimetric experiments.
Poster Session Resist Materials
icon_mobile_dropdown
193-nm resist composition using hybrid copolymers of cycloolefin/maleic anhydride (COMA)/methacrylate
A high performance 193 nm resist has been developed from a novel hybrid copolymer based on a cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) polymer system. A variety of copolymers have been synthesized from t-butyl norbornene carboxylate (BNC), t-butyl tetracyclo[4.4.0.1. 2,617,10] dodec-8-ene-3-carboxylate (TCDBC), t-butoxycarbonylmethyl tetracyclo[4.4.0.1.2,617,10]dodec-8-ene-3-carboxylate (BTCDC), and 5-[2-trifluoromethyl-1,1,1-trifluoro-2-hydroxypropyl]-2-norbornene (F1) and maleic anhydride (MA). The effect of the monomers and the ratio of monomers in the copolymer on lithographic performance studied. This paper will report the chemistry of the polymer platform and relative advantages and disadvantages of having certain monomers in terms of lithographic performance and line edge roughness, and post exposure bake sensitivity.
Characteristics of low Ea 193-nm chemical amplification resists
Toshiyuki Ogata, Yohei Kinoshita, Sanae Furuya, et al.
Polymers with methyl acetal ester moiety in the side chain as acid labile protecting group were synthesized and their thermal property, plasma stability and chemical amplification (CA) positive-tone resist characteristics were investigated. 2-Admantyloxymethyl (AdOM) groups in the copolymer indicated lower glass transition temperatures and higher thermal decomposition temperatures than those of 2-methyl-2-admantyl (MAd) groups in the copolymer. AdOM polymer film showed smooth surface roughness after Ar plasma exposure compared with MAd polymer film due to the high thermal stability. The activation energies (Ea) of these deprotection reactions were calculated from Arrhenius plots of these deprotection reaction rate constants. In the low post exposure bake (PEB) temperature region, the Ea of these resists decreased in the order MAd > AdOM. The low Ea methyl acetal resists displayed good thermal flow resist characteristics for contact holes printing. In addition, the low Ea methyl acetal resist achieved a wide exposure latitude of 8.1 % and depth of focus of 400 nm for printing 80 nm 1:1 dense line pattern using NSR-306C (NA 0.78, 2/3 annular). Furthermore, the 65 nm 1:1 dense lines using ASML XT1400 (NA 0.93, C-Quad) for low Ea methyl acetal resist pattern showed no tapered and no footing profiles and small roughness on the lines pattern sidewall was observed.
Studies on a cross-linking type positive 193nm photoresist material
Liyuan Wang, Xin Guo, Zhanxing Chu, et al.
A kind of diacid, acrylpimaric acid, with condensed alicyclic structure and good film-forming property, was prepared by the Diels-Alder reaction of abietic acid and acrylic acid. In their solid film, the diacid can react with divinyl ether, such as 1,3-divinyloxyethoxybenzene when baked above 80oC and become insoluble in dilute aqueous base. Thus formed compound can be quickly decomposed at the presence of strong acid generated by PAG above 100oC and become easily soluble in dilute aqueous base. A positive photoresist can be formed by the diacid, divinyl ether and PAG. The measured photosensitivity is less than 50 mj/cm2 when exposed to low pressure Hg lamp (254nm). The diacid mixture displayed lower transparency than estimated at 193 nm and should be further purified to be used in 193 nm photoresist.
Synthesis and evaluation of novel resist monomers and copolymers for ArF lithography
We report effects of methacrylates with polar group on resist performance determined by Quartz crystal microbalance (QCM) method. We found that high composition ratio of mevalonic lactone methacrylate accelerates dissolution of the resist film, which is suitable for high resolution resist. In addition, dissolution rate of the resist film depends on the structure of polar monomer. Also, a difference of swelling depending on the structure of polar monomer was observed in a low exposure dose. We think that the polymer polarity and acid dissociation energy of pendant group were influential to these phenomena. This information is also useful to develop new materials for ArF lithography.
Adamantane based molecular glass resist for 193 nm lithography
As the feature dimensions decreases there are several issues must be addressed to implement the corresponding technology in high volume production. Line width roughness (LWR) and line edge roughness (LER) are the most important technological issue arises as the feature dimension decreases. In order to improve both of LWR and LER, we have developed novel low molecular weight glass resists as high performance resist materials. These molecular glass resists are adamantane derivatives and are highly transparent at 193 nm. We have prepared series of new molecular glass resists based on adamantane core carrying acetal and ester protecting groups. Particularly, adamantane core derivatives of tripod structure were investigated in detail. Several compositions of them showed glass transition temperatures (Tg) above 120 oC. Lithographic evaluation confirmed their high sensitivity at 254 nm and e-beam exposure. It also resolved feature size as small as 200 nm line/space when it evaluated using e-beam lithography. These new molecular glass resists also have high plasma-etch resistance.
Versatility in lithographic performance of advanced 193 nm contact hole resist
This paper introduces high performing contact hole resist targeting 65 nm node and below IC applications. Both 80 nm and 100 nm contact hole performance are evaluated under optimized condition by ProlithTM simulations and the advantage of the shrinking technique (RELACSTM) is discussed for 65 nm node. The functionality of 193 nm polymers and the influence of resist components on lithographic performance are described with experimental design. The optimized resist, AZ® AX2050P is versatile in lithographic performance with large process window, excellent resist profile, good contact circularity and sidewall roughness. Its unusual PEB sensitivity property, resist pattern thermal flow behavior and performance with RELACSTM material are also reported. AZ® AXTM2050P has a high resolution combined with a large depth of focus and an iso-dense overlap window with RELACSTM R602 [85 nm CD (NA 0.85) DOF 0.30 μm @ Exposure latitude 8%].
Molecular resists based on cholate derivatives for electron-beam lithography
Daiju Shiono, Taku Hirayama, Hideo Hada, et al.
We have designed and synthesized cholate derivatives (1,4-Bis(methyloxymethylcholate)cyclohexane: C2ChDM and 1,2-Bis(oxymethylcholate)ethane: C2E) to investigate the properties as a chemically amplified (CA) positive-tone Electron-beam (EB) resist material. C2ChDM and C2E which were easily obtained by one-step esterification from cholic acid and dichloride showed glass transition temperatures (Tgs), 85 and 84oC, respectively. These compounds were dissolved in propylene glycol monomethyl ether acetate (PGMEA) and formed amorphous thin films onto silicon wafers by using a spin-coat method. The etch rates of C2ChDM and C2E, which were measured under CF4/CHF3/Ar mixed gas process, were almost the same as poly (p-hydroxystyrene) (PHS). The model resist samples were formulated with C2ChDM and C2E as base matrix and photo-acid generator (PAG) originated from sulfonium-salt (resist-A and B, respectively). These resists showed good sensitivities with EB exposure. Furthermore, the FT-IR spectra of resist-A and B films unexposed and exposed by the EB lithography tool were measured. From the spectral changes of resist-A and B films, we confirmed that a cleavage reaction of ester bond occurred by EB irradiation and bake treatment, and these resists worked as common CA positive-tone resist. The evaluation results with the resist-A and B by using EB exposure tool indicated the resolution of 120 nm lines and spaces pattern.
Fundamental studies of the properties of photoresists based on resins containing polymer-bound photoacid generators
Conventional chemically amplified photoresist formulations are complex mixtures that include a protected polymer resin and a small molecule photoacid generator (PAG). The limited compatibility of the PAG with resist resin and the mobility of the small molecule additive can lead to problems including PAG phase separation, non-uniform initial PAG and photoacid distribution, and acid migration during the post-exposure baking (PEB) processes. The incorporation of PAG units into the main chain of the polymer resin is one possible method to alleviate these problems. Recently, we have investigated methacrylate based resists which incorporate novel PAG functional groups into the polymer main chain. These materials have demonstrated good resolution performance for both 193 nm and EUV exposure for sub-100 nm patterning. However, limited information is available on the effect of binding the PAG to the polymer on PAG photoreactivity and photoacid diffusivity. In this work, the photoacid generation rate constant (commonly referred to as the Dill C parameter for the PAG) of both triflate polymer-bound PAG and blended PAG photoresists based on poly(γ-butyrolactone methacrylate -co-2-ethyl-2-adamantyl methacrylate ) resists were determined by a new technique utilizing both quantitative FTIR spectroscopy and kinetic model fitting. The results indicate that the polymer-bound PAG resist has a lower photoacid generation rate constant (C=0.0122) than the blend PAG one (C=0.2647). This large difference in Dill C parameters would indicate that the sensitivity of the polymer-bound PAG resist is substantially lower than that of the analogous blended sample which is consistent with contrast curve data for these two samples.
Poly(4-(1-hydroxyalkyl)styrene based photoresist materials: design, synthesis, and their lithographic performance
Mohammed J. Nasrullah, R. Dhamodharan
Several synthetic approaches are available to make photoresist polymers for deep UV (DUV) lithography. Two approaches were widely used in semiconductor manufacturing: i) direct polymerization of corresponding monomers by (controlled) radical, (living) ionic polymerization ii) thermal or chemical catalyzed deprotection or protection of the macromolecules. The latter approach which is also called polymer modification chemistry (PMC) or polymer analogous chemistry offers several advantageous over the direct polymerization approach. In this presentation, we will provide an overview on the preparation and basic lithographically important characterization of new polymers based on poly(4-(1-hydroxyalkyl)styrene-co-styrene) [Poly(4-HAS-co-S)]. These polymers were synthesized for the first time by PMC and this methodology is an simple alternative for the synthesis of poly(4-HAS-co-S) than conventionally used synthetically challenging free radical or low temperature anionic polymerization of the protected monomer. We have synthesized high and low molecular weight (Mn) polymers with mole fraction of functional group ranging from partial to complete functionalization. Several formulations based on poly(4-HAS-co-S) were developed and tested for negative tone imaging at DUV lithography. Lithographic performance of these polymers at DUV lithography will be compared with their molecular weight and mole fraction of functional groups.
Negative nanomolecular resists based on calix[4]resorcinarene
Negative working nanomolecular resists based on fully epoxy-protected tetra-Cmethylcalix[4]resorcinarene (epoxy C-4-R) and oxetanyl-protected tetra-methylcalix[4]resocinarene (oxetanyl C-4-R) have been developed. They were prepared by the reaction of C-4-R with epichlorohydrin or in the presence of trimethylamine. They can be coated on the silicon wafer by spin-coating method. A clear film cast from a 20 wt% epoxy C-4-R solution in chloroform showed high transparency to UV above 300 nm. A fine negative image featuring 0.8 μm of minimum line and space patterns was observed on the film of the photoresist exposed to 40 mJ/ cm2 of Near UV-light by the contact mode.
Synthesis of photobleachable deep UV resists based on single component nonchemically amplified resist system
Kyoung-Seon Kim, Su-Min Kim, Ji-Young Park, et al.
In a general way, non-CARs consist of the matrix resins and photoactive compounds (PACs), and the dissolution properties of the resists are dependent on the amount of PACs. In common, I-line and G-line resists based on novolac and diazonaphthoquinone (DNQ) are typical non-CARs. But most PACs absorb much light in the deep UV, and they are poorly photobleached by deep UV exposure. This strong absorption of PACs prevents the deep UV light from reaching the bottom of the resist film, leading to scum and sloped pattern profiles. Several PACs which contain diazoketo groups have been reported for deep UV lithography. Our goal in this investigation is to find a proper resist that is processable without photoacid generator and induces both photobleaching in the deep UV regions and polarity change upon exposure. We thought diazoketo groups attached to the polymer side chains could give such effects. There is no necessity for the post-exposure bake step that is the cause of acid-diffusion. The diazoketo groups undergo the Wolff rearrangement upon irradiation in the deep UV, affording ketenes that react with water to provide base soluble photoproducts. The polymers were synthesized by radical copolymerization of 2-(2-diazo-3-oxo-butyryloxy)-ethyl methacrylate, 2-hydroxyethyl methacrylate, and γ-butyrolacton-2-yl methacrylate. The single component resist showed 0.7μm line and space patterns using a mercury-xenon lamp in a contact printing mode.
Newly developed RELACS materials and process for 65 nm nodes
We have developed a new ArF-RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink) material called AZ-LExp.R720. The principle and process procedure of LExp.R720 are almost identical to those previously developed with KrF lithography. The extent of crosslinking reactions and the mobility balance of chemical components at the boundary between resist and the RELACS film is adjusted to ArF resist chemistry. LExp.R720 can vary shrinkage from 10 to 40nm by controlling the process conditions, mainly the mixing bake temperature. The amount of shrinkage is independent of pattern pitch and focus. We confirmed that pattern profile, lithography margin, CD uniformity, etching resistance, and pattern defects were not deteriorated by the RELACS process with deionized water development. L.ExpR720 was able to get an amount of shrinkage with several of ArF resists, which has commercial applications. In conclusion, we believe that LExp.R720 is extremely useful for 65 nm node and next generation devices.
Poster Session ARC/Multilayer Processes
icon_mobile_dropdown
Wet-recess process optimization of a developer-soluble gap-fill material for planarization of trenches in trench-first dual damascene process
Carlton Washburn, Nick Brakensiek, Alice Guerrero, et al.
This paper describes a new approach to help overcome the challenges of fabricating leading-edge devices by using the trench first dual damascene process. Wet gap-fill materials are designed to reduce film thickness bias across a wafer while keeping wafers in the same track in which they were coated. As the first process step, the wafer is coated with a thick layer of wet gap-fill material to fill all trenches, thus guarding against resist pooling in the trenches. The substrate is then baked to partially cure the wet gap-fill material. Standard 0.26N tetramethylammonium hydroxide (TMAH) is then used to wet etch the wet gap-fill layer back to the substrate surface. For this study, substrates with different trench depths and widths were processed, cross-sectioned, and measured. The effect of trench dimensions and aspect ratio on the develop properties of WGF200-343 was investigated to see if it could be used as a wet trench-fill material. This work will help develop a process that will allow the use of trench-first DD processing in modern semiconductor manufacturing.
Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography
Makoto Nakajima, Takahiro Sakaguchi, Keisuke Hashimoto, et al.
Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.
Study of iso/dense bias of BARCs and gap-fill materials on via wafers
The topography of a back-end wafer contains high-aspect vias that are unevenly distributed on a wafer with pitches ranging from 1:1 dense to isolated. The difference in via density causes thickness bias across the wafer, which causes the shift of resist depth of focus and critical dimension swing. The bottom anti-reflective coating (BARC) topography is formed basically after spin coating and reshaped through thermal reflowing when the coating is baked at high temperature to cure the film. In this paper, we discuss the thicknesess at isolated and dense via patterned areas in the spin-coating process and the baking process. Spin coating is a method commonly used to cast BARC and gap-fill materials on silicon wafers. The first stage of spin coating is the deposition of the coating liquid onto the wafer. The second stage is when the substrate is accelerated up to its final, desired rotation speed. The third stage is when the substrate is spinning at a constant rate and fluid viscous forces dominate the fluid thinning behavior. The fourth stage is when the substrate is spinning at a constant rate and solvent evaporation dominates the coating thinning behavior. Viscous flow and solvent evaporation occur throughout all stages. After spin coating, high-temperature baking cures the film and makes it insoluble in organic solvents that are used in the next spin-coating step. However, this step also gives the polymer a chance to undergo thermal flow because the bake temperature is much higher than the polymer's glass transition temperature (Tg). A chemical reaction occurs at the same time to form a polymer network that stops the thermal flow. The study of how far material can flow before the gel point of the polymer has been reached is presented in this paper. The calculation of the material transformation due to solvent evaporation and polymer rheometry is based on dynamic and kinetic effects. The work described in this paper showed how the parameters related to material properties and to the chemical reaction of crosslinking affected iso/dense bias. This work gives us guidance regarding what kind of materials and process conditions are good for planarization.
Implantation blocking characteristics study of organic BARC materials
Myoung-Soo Kim, Jae-Wook Seo, Kew-Chan Shim, et al.
In this study, the four different types of ArF and KrF OBARC have been evaluated to know the implantation blocking and gap fill performance for 80nm node device application. The boron implantation conditions of 11B and 49BF2 are processed and the minimum energy for implantation blocking of these OBARC are obtained by SIMS analysis. The minimum energy of ArF and KrF OBARC are about 13.0KeV and 15.0KeV, respectively. The chemical density of each OBARC is also calculated from the minimum blocking energy. Their values of ArF and KrF OBARC are about 0.8g/cm3 and 1.0g/cm3, respectively. The minimum energy trends among the tested materials show the almost similar results with those of chemical density as expected. Even though the OBARC are composed of the similar chemical structure, they induce the different chemical density because of their own molecular weight and other additional structure as like chromophore. Both of KrF and ArF OBARC show the good gap fill performance on 0.2μm size of via substrate and real topology pattern without void. It seems that the gap fill property is not much affected by the chemical structure or molecular weight of OBARC. It is thought that OBARC is an effective material for gap fill application than other resists, especially for deep topology patterns. In general, the etch rate of OBARC is slightly faster than that of ArF resist or similar with that in this experimental condition. The OBARC having high chemical density shows the slower etch rate and that of OBARC is inversely proportional to the chemical density of it. Therefore, it is confirmed that the OBARC is able to apply for implantation blocking purpose without gap fill void in real device below 80nm, since they have the good characteristics for gap fill, reflectivity control from substrate and implantation blocking property at a certain coating thickness.
New chemical approach for resist poisoning problem in via first dual-damascene process
The "resist poisoning", development defect of photo-resist pattern, has become more serious problem in via-first dual damascene process for 65-nm technology node and beyond. Suppression of the resist poisoning using by novel gap fill (GF) materials is investigated and the influence of GF material's properties on the poisoning is also clarified. It is concluded that the poisoning suppression is associated with chemical reaction between functional groups in the GF film and basic contamination causing the poisoning. On the other hand, the film properties such as film density and hardness do not influence on the poisoning. A mechanism for the poisoning generation is proposed that the GF material can capture the poisoning-contamination in polymer matrix during cross-linking reaction. The capture-reaction can prevent the contamination from diffusion into the photo-resist. Finally, a new GF material, sample-D, suppresses the poisoning to the same level as a process with annealing treatment.
Development of 193-nm wet BARCs for implant applications
Jim Meador, Carol Beaman, Joyce Lowes, et al.
This paper describes the chemistry and performance of a new family of wet-developable (wet) bottom anti-reflective coatings (BARCs) that have been developed for 193-nm implant layer applications. These BARCs, which are light sensitive and positive working, are imaged and developed in the same steps as the covering 193-nm photoresist. The BARCs are spin coated from organic solvents and then insolubilized during a hot plate bake step. The resulting cured films exhibit minimal solubility in numerous organic solvents. Resolution of a photoresist A and light-sensitive BARC I at optimum exposure (Eop) on a silicon substrate was 150-nm L/S (1:1), with good sidewall angle and no scumming. These best-case results utilize a first reflectivity minimum BARC thickness and meet the desired resolution goals for noncritical implant layers. BARC optical parameters can easily be adjusted by altering the polymeric binder. PROLITHTM modeling shows that near zero reflectance can be achieved on a silicon substrate for both a first and a second reflectivity minimum BARC thickness. The light-sensitive, wet BARCs are both spin-bowl and solution compatible with most industry standard solvents. A selected BARC from this family of wet products was shown to be stable, providing reproducible film properties over several months of ambient storage conditions.
New advanced BARC and gap fill materials based on sublimate reduction for 193nm lithography
Innovative technologies are required by integrated circuit manufacturers to create smaller feature sizes on chips. According to the semiconductor roadmap, feature sizes are slated to be as small as 45nm in 2007, and sizes will be continued to decrease in the following years. Suitable absorbance, Lower etch resistance, straight photoresist profiles, wider D.O.F., thinner film thickness, more effective barrier properties to reduce resist poisoning, and sublimate reduction for defect free coating are the major concerns to be taken into consideration for new BARC and gap fill materials. In this paper, the study of sublimate reduction in the new BARC and gap fill materials was investigated. The effect of sublimate reduction from BARC in bake process is related to decrease defect number. We will introduce new BARC and gap fill material consisted of the polymers with self crosslink-reaction system. In addition of sublimate reduction data, resist profiles and 130 nm via fill performance in via- first dual damascene process presented here would show clearly these materials are ready to be investigated into mass production of 90 nm node IC devices and beyond.
Reducing bottom anti-reflective coating (BARC) defects: optimizing and decoupling the filtration and dispense process
Nickolas L. Brakensiek, Gary Martin, Sean Simmons, et al.
Semiconductor device manufacturing is one of the cleanest manufacturing operations that can be found in the world today. It has to be that way; a particle on a wafer today can kill an entire device, which raises the costs, and therefore reduces the profits, of the manufacturing company in two ways: it must produce extra wafers to make up for the lost die, and it has less product to sell. In today's state-of-the-art fab, everything is filtered to the lowest pore size available. This practice is fairly easy for gases because a gas molecule is very small compared to the pore size of the filter. Filtering liquids, especially photochemicals such as photoresists and BARCs, can be much harder because the molecules that form the polymers used to manufacture the photochemicals are approaching the filter pore size. As a result, filters may plug up, filtration rates may drop, pressure drops across the filter may increase, or a filter may degrade. These conditions can then cause polymer shearing, microbubble formation, gel particle formation, and BARC chemical changes to occur before the BARC reaches the wafer. To investigate these possible interactions, an Entegris(R) IntelliGen(R) pump was installed on a TEL Mk8TM track to see if the filtration process would have an effect on the BARC chemistry and coating defects. Various BARC chemicals such as DUV112 and DUV42P were pumped through various filter media having a variety of pore sizes at different filtration rates to investigate the interaction between the dispense process and the filtration process. The IntelliGen2 pump has the capability to filter the BARC independent of the dispense process. By using a designed experiment to look at various parameters such as dispense rate, filtration rate, and dispense volume, the effects of the complete pump system can be learned, and appropriate conditions can be applied to yield the cleanest BARC coating process. Results indicate that filtration rate and filter pore size play a dramatic role in the defect density on a coated wafer with the actual dispense properties such as dispense wafer speed and dispense time playing a lesser role.
New developer-soluble gap-fill material with fast plasma etch rate
Anwei Qin, Daniel M. Sullivan, Runhui Huang
For the via-first dual damascene process, a planarizing anti-reflective material or gap-fill material is typically used to ensure a lithography process produces the best profiles and critical dimension (CD) control. These requirements pose many challenges to material scientists, and the most difficult task is likely to be designing spin-on materials that provide zero bias between dense and isolated pattern areas. We have developed a unique solution, in the form of wet gap-fill (WGF) materials, to further reduce both iso/dense bias and the overall process time. In order to reduce iso/dense bias, dry gap-fill materials are used in combination with a plasma dry etch-back process. However, the bias reduction is less than satisfactory because the initial coating bias will transfer to the final surface through the etch process. As their name implies, our WGF materials fill surface topography and utilize a standard photoresist developer to etch back to the substrate surface. These WGF materials, by careful design, aim to minimize bias caused by the difference between the faster bulk material dissolution rate and the slower rate in small vias. After wet etch back, the isolated and dense via-patterned areas both are fully filled, and the bias is much smaller than the bias of the initial coating. In contrast to the dry etch-back process, wet etch back eliminates the need to transfer wafers between the etch and photo bays, which is definitely financially favorable. In addition, future low-k materials will most likely be porous, which raises the concerns about etch damage. Wet-etch gap-fill materials will provide an ideal solution to this problem.
Fundamental characterization of silicon-containing spin-on hardmask for 193nm photolithography
Vishal Sipani, Yoshi Hishiro, Mirzafer Abatchev
In this contribution, we have performed the fundamental characterization of silicon-containing spin-on hardmasks. There has been an interest in using these materials as part of multi-layer resist (MLR) technology to replace CVD films in order to improve lithographic performance and lower the overall process cost. However, not much is known about the characteristics of these materials in terms of their resist compatibility and etch performance, based on their composition. We have characterized these materials using a number of analytical techniques, including: FTIR, XPS, etc. We have also studied the effect of numerous etching chemistries, such as CF4 and SO2/O2, to determine their etch characteristics. Finally, we provide some after-dry-develop etch profiles.
Development of multi-function hard mask to simplify process step
Kilyoung Lee, Samyoung Kim, Geunsu Lee, et al.
ArF lithography has been driven into sub-100 nm dimensions using high numerical apertures, phase-shift mask, modified illumination, and optical proximity correction. As feature size continues to shrink, photoresist thickness as an imaging layer has been decreased for the improvement of lithographic process window and pattern collapse margin. Moreover, ArF photoresist has the inherent demerit of poor etch resistance in comparison with KrF photoresist and we have to use inorganic hard mask materials such as silicon-nitride, -oxide, poly-silicon, and silicon oxynitride as a pattern transfer layer. The cost-of-ownership (COO) of CVD process related to the application of inorganic hard mask is much more expensive than that of spin-on process. Therefore, several processes including bi-layer resist process (BLR), and tri-layer resist process (TLR)1 have been investigated. This paper will focus on TLR process consisted of multi-function hard mask (MFHM) material and spin on carbon (SOC) material.
Thin bilayer resists approach for 193nm and future photolithography
Resist aspect ratio has always been an issue for lithographic processes. Smaller CD forces the use of thinner resist films, but dry etch needs a certain amount of thickness in the resist. Various techniques have been proposed and researched to overcome these single-layer resist limitations. Bilayer Si-containing resists are a technique of interest and a strong candidate to replace CVD processes. In this paper, we have characterized bilayer resists and their dry-develop processes, and sought possible uses for advanced lithography, especially by using a thin film (70nm-90nm). Bilayer resist dry-develop consists of a film shrink as in an exposure reaction with an early-stage resist surface oxidation. We discuss material requirements for this purpose and provide some after-dry-develop images with small CD.
Poster Session Resist Processing
icon_mobile_dropdown
Gas-phase fluorination of resist for improving line-end pullback during etch
Line-end pullback has been an issue for photoresist patterning for many years. The two-dimensional nature of line-ends leads to increased deprotection of the resist and shortening of the resist features. From a lithographic standpoint, line-end pullback can be mitigated to some extent using optical proximity correction (OPC). However, as the space between line-ends gets smaller, a trade-off exists with respect to OPC. Over-correction of the line-end on the reticle by the addition of hammerheads can lead to bridging. In some cases, the line-end spacing can actually be less than design rules. The poor aerial image contrast at these line-ends can lead to sloped profiles as well as pullback. The line-end slope depends on the resist contrast, the OPC, and the target line end-to-end space. These sloped line ends lead to increased pullback during the subsequent gate etch process. For gate patterning, a resist trim step is often utilized prior to etching a hardmask and polysilicon. During each etch step the resist line-end is quickly eroded due to the sloped profile. In this paper, we present a novel post-develop processing technique for improving the line-end profile of patterned photoresist. This improvement in the line-end profile results in less pullback during subsequent etch processing. After development, a patterned photoresist film is treated to a gas phase fluorination process. The fluorination process leads to substitution of F for H in the polymer matrix of the resist film, and causes the resist to swell. This swelling causes the line-end profile to become more vertical due to the fact that the base of resist features are anchored to the substrate, and only the top portion of the resist features will swell. This improvement in the line-end profile is shown to reduce line end-to-end spacing by 20-30% after etch. Cross-sectional images show the improvement throughout the partitioned etch process. Simulation results verify that a more vertical line-end slope is sufficient to decrease line-end pullback during etch.
Improved ion implantation masking through photoresist fluorination
As semiconductor gate lengths shrink, photoresist trends toward thinner films. Thick photoresist films are not desirable because they tend to absorb more light, require higher energies to pattern, increase pattern collapse, and subtract from depth of focus and exposure latitude. The minimum thickness of implant photoresist is governed by the stopping power of the photoresist for the ion type and the energy of the implant. Relatively high energy implants and/or lower ion stopping power in the photoresist require thicker photoresist films. These problems can be mitigated through a novel photoresist fluorination process. The fluorination process results in the replacement of H atoms by heavier F atoms effectively increasing the molecular weight of the fluorinated film and its ability to block ion implantation. This straightforward and cost-effective process is investigated for use with a standard 248 nm dyed photoresist. Substrate damage probe measurements and Secondary Ion Mass Spectrometry depth profiles show species-dependent ion implant masking improvements of up to 40 % for fluorinated photoresist versus as-developed photoresist. Geometric and process margin arguments are discussed for thinning photoresist where angled implants are needed or process capability is insufficient. Finally, electrical data is presented that demonstrates the manufacturability of these fluorinated and thinned photoresist films.
Transistor fabrication for sub-90 nm transistor by using trim technology at ArF light source
The trim process with organic BARC to fabricate sub-90 nm gate was developed with ArF lithography. This trim process is not required extra hard mask layer which we usually use to overcome weak etching resistance of ArF photoresist. BARC etching step has been chosen as the best layer to apply trim process. We understood that the mix ratio of Cl2/O2 is the key process parameter to control etching bias. Also we observed that ID bias by changing BARC etching time. PCM and TEM inspection results proved that excellent transistor performance without any issues. LER improvement was observed by trim process application. and it helps to improve device performance. This organic BARC based trim process showed very promising results for sub-90 nm gate patterning.
Formulated surface conditioners to enhance the non-collapse window and maintain defect control: a bi-functional approach for sub-100-nm lithography
Masakazu Sanada, Minoru Sugiyama, Manuel Jaramillo Jr., et al.
One key challenge in sub-100 nm lithography is line pattern collapse. Pattern collapse has become an obstacle in device manufacturing processes requiring dense-high aspect ratio resist lines. In addition to pattern collapse, defect control continues to be a factor in IC manufacturing. In this study, the impact of a formulated surface conditioner, OptiPatten® Clear, with bifunctional capabilities: improved non-collapse window and defect control, was tested using a 193 nm lithographic process. To determine pattern collapse performance, 100 nm dense lines/space (L/S) and 100 nm 1:0.9 L/S were patterned into 240 nm of resist on 200 mm wafers. The wafers were then processed with developer and a formulated surface conditioner and compared to wafers processed with developer and DI water. When analyzed, wafers processed with surface conditioner had a 33% increase in Depth-of-Focus (DOF) and a 25% increase in Critical Normalized Aspect Ratio (CNAR) compared to DI water. Optical proximity effects are often credited for having a first-order influence on pattern collapse. Trench feature data was generated using an Scanning Electron Microscope (SEM) to compare the pattern collapse performance of OptiPattern Clear to DI water. The data strongly suggests optical proximity effects are a second-order factor which OptiPattern® Clear resolves. Defect performance for OptiPattern Clear was measured by comparison with a DI water baseline. A production reticle was used to process wafers patterned with 120 nm L/S with 240 nm of resist. The wafers processed with OptiPattern® Clear had similar defect performance as the DI water.
Molecular contamination control technologies for high NA 193nm lithography
Akihiro Imai, Takashi Tanahashi, Kazuki Yamana, et al.
While the current standard for NA (Numerical Aperture) for the semiconductor resist process is 193 nm High NA, use of the 193 nm immersion exposure process is growing and almost ready for application in mass production. With the growing trend toward the use of finer line processes in the manufacture of semiconductor devices, the need for cleanliness of the ambient atmosphere surrounding the silicon wafer has also been increasing. In addition to ammonia, that has hitherto been the main target for elimination, the concentration of other chemicals, such as amines and N-methyl-2-pyrrolidone (NMP), need to be kept sufficiently low for the new processes. Therefore, the role of chemical filters has become an essential one. We conducted a study on the dependency of chemical filters on the molecular diffusivity of target gas species, and, based on this data, developed a filter that eliminates amines. The filter has a honeycomb structure with a wide gas-contacting area, and consists of an ion-exchange resin that has received special treatment. The filter has a greatly improved gas capture efficiency (>99.8% for ammonia, >98% for triethylamine and NMP) and a very large adsorption capacity, which enables a 50% reduction of the filter volume compared with currently available chemical filters.
ArF processing of 90-nm design rule lithography achieved through enhanced thermal processing
As the lithography community has moved to ArF processing on 300 mm wafers for 90 nm design rules the process characterization of the components of variance continues to highlight the thermal requirements for the post exposure bake (PEB) processing step. In particular as the thermal systems have become increasingly uniform, the transient behavior of the thermal processing system has received the focus of attention. This paper demonstrates how a newly designed and patented thermal processing system was optimized for delivering improved thermal uniformity during a typical 90 second PEB processing cycle, rather than being optimized for steady state performance. This was accomplished with the aid of a wireless temperature measurement wafer system for obtaining real time temperature data and by using a response surface model (RSM) experimental design for optimizing parameters of the temperature controller of the thermal processing system. The new units were field retrofitted seamlessly in <2 days at customer sites without disruption to process recipes or flows. After evaluating certain resist parameters such as PEB temperature sensitivity and post exposure delay (PED) - stability of the baseline process, the new units were benchmarked against the previous PEB plates by processing a split lot experiment. Additional hardware characterization included environmental factors such as air velocity in the vicinity of the PEB plates and transient time between PEB and chill plate. At the completion of the optimization process, the within wafer CD uniformity displayed a significant improvement when compared to the previous hardware. The demonstrated within wafer CD uniformity improved by 27% compared to the initial hardware and baseline process. ITRS requirements for the 90 nm node were exceeded.
Process development and resist modification for metal trench layers from 65nm to 45nm nodes
Steven Wu, Sho-Shen Lee, Chun-Chi Yu, et al.
A combination of simulation, resist modification and process optimization were used to develop production worthy dry 193nm lithography processes, suitable for the metal trench layers of 65nm node logic devices. The important performance characteristics of a back-end metal trench layer are through-pitch proximity bias, lithographic latitude and ultimate resolution. Simulation results suggested that a moderate annular illumination setting balances proximity bias against resolution at the forbidden pitch, yielding a good overall through-pitch common process window. Resist material optimization through resin, PAG (photo-acid generator) and base quencher modification improves proximity bias and results in excellent lithographic performances of good LER (line edge roughness), low MEF (Mask Error Factor) and wider process latitude. To investigate extendibility to 45nm node applications, the immersion compatibility of the optimized resist with several top coats are reported.
Parameter investigation of PEB sensitivity
Post exposure bake temperature sensitivity (PEB sensitivity) becomes important as the pattern pitch size shrinks gradually. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, activation energy for deprotection reaction, free volume of base polymer, and so on. Our works were conducted as a part of the basic study for searching influential parameter of PEB sensitivity. We found that PEB sensitivity relies largely on not only acid diffusion parameter, but also the hydrophilicity of base polymer and protection group ratio. Also, we observed that bulkiness of deprotection group has great influence on PEB sensitivity. Detailed results will be reported in this paper.
Extending i-line capabilities through variance characterization and tool enhancement
Dan Miller, Adrian Salinas, Joel Peterson, et al.
Continuous economic pressures have moved a large percent of integrated device manufacturing (IDM) operations either overseas or to foundry operations over the last 10 years. These pressures have left the IDM fabs in the U.S. with required COO improvements in order to maintain operations domestically. While the assets of many of these factories are at a very favorable point in the depreciation life cycle, the equipment and processes are constrained to the quality of the equipment in its original state and the degradation over its installed life. With the objective to enhance output and improve process performance, this factory and their primary lithography process tool supplier have been able to extend the usable life of the existing process tools, increase the output of the tool base, and improve the distribution of the CDs on the product produced. Texas Instruments Incorporated lead an investigation with the POLARIS® Systems & Services business of FSI International to determine the sources of variance in the i-line processing of a wide array of IC device types. Data from the sources of variance were investigated such as PEB temp, PEB delay time, develop recipe, develop time, and develop programming. While PEB processes are a primary driver of acid catalyzed resists, the develop mode is shown in this work to have an overwhelming impact on the wafer to wafer and across wafer CD performance of these i-line processes. These changes have been able to improve the wafer to wafer CD distribution by more than 80 %, and the within wafer CD distribution by more than 50 % while enabling a greater than 50 % increase in lithography cluster throughput. The paper will discuss the contribution from each of the sources of variance and their importance in overall system performance.
Thickness dependence of the lithographic performance in 193nm photoresists
Jae Hyun Kim, Namuk Choi, Young-Ho Kim, et al.
The lithographic performance of a 193nm resist was evaluated for 75nm line and space patterns with thicknesses ranging from 35 nm to 170 nm. Because of the high line edge roughness (LER) value and low depth of focus (DOF), the standard resist was not appropriate for sub-100nm thick films. The influence of the concentration of photo acid generator (PAG) on the lithographic performance-LER, depth of focus (DOF)- over the thickness range of 35nm to 110 nm will be investigated in this paper. With PAG loading percentage increased, the LER and DOF value were enhanced at sub-100nm thickness. Finally, It was demonstrated that the lithographic performance could be enhanced up to 50 nm thickness, changing the resist structure for less transparent type based on the high PAG loading resist.
New development application method to improve critical dimension control
Chang-Young Hong
As critical dimension shrink below 0.13um at the using KrF resists, critical dimension control becomes a major concern. development is one of the critical processes affecting CD control. We have focused attention on the stage of TMAH puddle & velopment formation. How to fast develop solution percolates through the exposured area was the key to expanding the process latitude and CD uniformity. Our investigated new development method was provided this key factor. In this paper, we compared with standard development method and our proposed new double development method. and it was found that the process latitude, CD uniformity of within-wafer and within-line pattern, the profile of the top of the pattern were improved by New development method for various pattern features.
Stability of photo resist coating performance of small dispense nozzle size in photolithographic spin coating process
Xiao Li, Tom Lehmann, Warren Greene
Reduction of photoresist consumption to reduce costs while maintaining resist coating quality is becoming a major challenge for process and equipment engineers in the semiconductor industry. This challenge can be met by reducing dispense nozzle diameter to maintain a constant dispense rate at a reduced dispense volume. In this study, two small dispense nozzle sizes (0.5 and 0.6 mm in diameter) and two resist dispense volumes (0.4 and 0.5cc per coating) were evaluated during the resist spin coating process. Stability tests of five resist thickness means and ranges of three photo resists types with various resist viscosities were performed using small dispense nozzles and small resist dispense volumes. Each stability test consisted of both 25 wafer continuous resist coats and one wafer per coating for 15 days. Coat defects from the coat process using a small dispense nozzle and small resist dispense volume were analyzed on the layers of Island, Poly, Metal and Contact in a manufacturing fab. The effect of the resist coat process using a small dispense nozzle and a small resist dispense volume on critical dimension (CD) performance of Island, Poly, Metal and Contact layers before and after etch was reported. Resist thickness uniformity data, coating defect data and CD data from the small dispense nozzle size and reduced resist dispense volume coating process were also compared with a normal resist coating process with dispense nozzle size of 1.5mm and resist dispense volume of 0.6 to 0.75cc per coating.
Poster Session Resist Defects
icon_mobile_dropdown
A universal process development methodology for complete removal of residues from 300mm wafer edge bevel
Mai Randall, Michael Linnane, Chris Longstaff, et al.
Many yield limiting, etch blocking defects are attributed to "flake" type contamination from the lithography process. The wafer edge bevel is a prime location for generation of this type of defect. Wafer bevel quality is not readily observed with top down or even most off axis inspection equipment. Not all chemistries are removed with one "universal" cleaning process. IC manufacturers must maximize usable silicon area as well. These requirements have made traditional chemical treatments to clean the wafer edge inadequate for many chemistry types used in 193nm processing. IBM has evaluated a method to create a robust wafer bevel and backside cleaning process. An August Technology AXiTM Series advanced macro inspection tool with E20TM edge inspection module has been used to check wafer bevel cleanliness. Process impact on the removal of post apply residues has been investigated. The new process used backside solvent rinse nozzles only and cleaned the wafer bevel completely. The use of the topside edge solvent clean nozzles was eliminated. Thickness, wet film defect measurements (wet FM), and pattern wafer defect monitors showed no difference between the new backside rinse edge bead removal process and the process of record. Solvent topside edge bead removal of both bottom anti-reflective coatings and resist materials showed better cut width control and uniformity. We conclude that the topside solvent edge bead removal nozzle can be removed from the process. Backside solvent rinse nozzles can clean the backside of the wafer, the wafer bevel, and can wrap to the front edge of the wafer to provide a uniform edge bead removal cut width that is not sensitive to coater module tolerances. Recommendations are made for changes to the typical preventive maintenance procedures.
Use of direct washing of chemical dispense nozzle for defect control
Michael Linnane, George Mack, Christopher Longstaff, et al.
Demands for continued defect reduction in 300mm IC manufacturing are driving process engineers to examine all aspects of the chemical apply process for improvement. Historically, the defect contribution from photoresist apply nozzles has been minimized through a carefully controlled process of "dummy dispenses" to keep the photoresist in the tip "fresh" and remove any solidified material, a preventive maintenance regime involving periodic cleaning or replacing of the nozzles, and reliance on a pool of solvent within the nozzle storage block to keep the photoresist from solidifying at the nozzle tip. The industry standard has worked well for the most part but has limitations in terms of cost effectiveness and absolute defect elimination. In this study, we investigate the direct washing of the chemical apply nozzle to reduce defects seen on the coated wafer. Data is presented on how the direct washing of the chemical dispense nozzle can be used to reduce coating related defects, reduce material costs from the reduction of "dummy dispense", and can reduce equipment downtime related to nozzle cleaning or replacement.
The suppression method of powder formation in ArF photoresist
Geunsu Lee, Heesung Kim, Eungsok Lee, et al.
Powder formation of ArF photoresist in nozzle tip of track is very serious problem because particles of photoresist drop on wafer during process. To cope with this issue, we have studied several factors affecting powder formation in ArF photoresist processing such as polymer type, solvent for photoresist, thinner for solvent bath, and the structure of solvent bath. This report shows solutions for this issue. Chemical structure of polymer in ArF photoresist is the origin of powder formation but solvents for photoresist and thinner for solvent bath have an important role for improvement. Above all, the modification of solvent bath structure is most effective to remove this issue.
Correlation between polymer platform of ArF photoresist and defect in the track nozzle of manufacturing process line
Ji Young Song, Dong Chul Seo, Seung Duk Cho, et al.
As the minimum feature size of electronic devices continues to shrink, the industry is moving from wavelength of 248-nm KrF excimer laser sources to shorter wavelength of 193-nrn ArF excimer laser and ArF immersion to achieve required higher resolution. As minimum feature sizes are reduced, the ability to minimize defects is getting more important, because they have a close connection with yield. With the replacement of laser source, 248-nm with 193-nm, the platform of polymer was also converted from phenolic polymer into acrylic polymer. With this platform changes unexpected various defect problems had been occurred. Although KrF process causes not much of defect, ArF process causes more serious defect problems. One of those major defect source is solidification of polymer in track nozzle. The solidified polymer at track nozzle needs to be removed periodically, unless it causes significant throughput loss in mass production. The amount of this type of defect relies on physical properties of polymer platform such as hydrophilicity, solubility or structural rigidity. The hydrophilic phenol based KrF polymer shows minor defects, contrarily hydrophobic acryl based ArF polymer causes serious defects. The solidification of acrylate type polymer was caused by poor solubility. In order to improve solubility, olefinic moieties such as norbornylene, norbornyl devertives and opened maleic anhydride monomers were adopted in acrylate polymer. Those inserted olefins and opened maleic anhydride in acrylic polymer changed overall structure such as rigid helix structure into flexible structure. With the increase of solubility, particle defect was dramatically reduced. Conclusively, insertion of cycloolefin and opened maleic anhydride moiety releases rigid acrylic structure and it improves solubility. As solubility improves, crystallization at nozzle has been decreased and the particle defect is reduced. Moreover this flexible structure allows the resist reflow at the moderate temperature which is one of the resolution enhancement techniques.
Topside anti-reflective coating process and productivity improvements on KrF lithography
Terri Couteau, Michael Carcasi
Topside anti-reflective coatings (TARC) are used in microelectronics fabrication to control standing wave formation during the patterning process. By changing the phase of the light that is reflected from the substrate, interference effects of thin photoresist films are minimized. Filtering and dispensing these fluids have proven to be difficult, as they are prone to micro-bubble formation due to surfactant additives. Surfactants will encapsulate micro-bubbles that form during filtration and dispense. The acidity of TARC is also of concern with regards to resist dark loss, especially at point of dispense. Minimization of TARC process defects is of paramount significance in a manufacturing environment. Reduced defect levels can increase overall yield and tool availability. In this study, we examined reducing the volume of trapped air and the resist dark loss associated with TARC acidity to prevent the formation of defects. Due to the inherent material properties of TARC, the handling, chemical priming, preventative maintenance, pump type, filter type and size, vent interval, filtration rate, idle/periodic dispense frequency methodology, and on-wafer dispense methodology must be considered to prevent in-film and surface defects associated with micro-bubbles and the TARC acidity. Defect reduction and increased tool availability was accomplished by examining and optimizing tool hardware and functionality, examining and optimizing filter media and size, examining and optimizing pump purge/vent sequences and frequency, improving overall pump knowledge, improving filter change procedure and maintenance, and understanding and reducing dark loss issues associated with acidity of TARC chemical.
Studies of the mechanism for immersion specific defects
In the past several years, ArF immersion lithography has been developed rapidly for practical applications. One of the most important topics is the elucidation of a mechanism and its solution of immersion specific defects. In this paper, we report several analytical results of immersion specific defects. First, we classify several possible origins of specific defects that are proposed based on our experiment on the actual immersion process and previous literature. We focused on a droplet of immersion water that was the origin of circular and deformed circular-type defects. Further, a watermark (WM) was created on some types of film stacks with or without the topcoat (TC) on the resist. We observed that all samples exhibited the trace of the WM. From chemical surface analyses, we obtained different types of components in the residue of the WM, which dried spontaneously. These components depended on the tested film stack. Some types were not always derived from leaching materials in the resist. Some components in the residue appeared to be airborne contaminants that were unregulated in machines used in the photolithography process. Based on the results of these tests, we discussed some methods for avoiding defects according to the droplet WM.
Defectivity reduction by optimization of 193-nm immersion lithography using an interfaced exposure-track system
As the integration of semiconductor devices continues, pattern sizes required in lithography get smaller and smaller. To achieve even more scaling down of these patterns without changing the basic infrastructure technology of current cutting-edge 193-nm lithography, 193-nm immersion lithography is being viewed as a powerful technique that can accommodate next-generation mass productions needs. Therefore this technology has been seriously considered and after proof of concept it is currently entering the stage of practical application. In the case of 193-nm immersion lithography, however, because liquid fills the area between the projection optics and the silicon wafer, several causes of concern have been raised - namely, diffusion of moisture into the resist film due to direct resist-water interaction during exposure, dissolution of internal components of the resist into the de-ionized water, and the influence of residual moisture generated during exposure on post-exposure processing. To prevent these unwanted effects, optimization of the three main components of the lithography system: materials, track and scanner, is required. For the materials, 193nm resist formulation improvements specifically for immersion processing have reduced the leaching and the sensitivity to water related defects, further benefits can be seen by the application of protective top coat materials. For the track component, optimization of the processing conditions and immersion specific modules are proven to advance the progress made by the material suppliers. Finally, by optimizing conditions on the 3rd generation immersion scanner with the latest hardware configuration, defectivity levels comparable to dry processing can be achieved. In this evaluation, we detail the improvements that can be realized with new immersion specific track rinse modules and formulate a hypothesis for the improvements seen with the rinsing process. Additionally, we show the current status of water induced immersion specific defect reduction using the latest advances in technology.
Defect reduction by using a new rinse solution for 193-nm conventional and immersion lithography
Semiconductor manufacturing technology has progressed remarkably in recent years. This progress has been accompanied by demands to reduce the feature size used in photolithography processing, resulting in a reduction of the exposure wavelength from 248 nm (KrF laser) to 193 nm (ArF laser). ArF immersion lithography is now being actively researched and developed with the aim of implementing the 45-nm technology node. Chemically amplified (CA) resists have been introduced to cope with these reduced feature sizes, making it all the more important to reduce defects produced in the lithography process. In recent years, the behavior of defects in a CA resist has been clarified by studies involving various microprobe analysis techniques. Basically, it has been reported that water-soluble defects such as "satellites" and water-insoluble defects such as "resist residues" are generated by various factors. Furthermore, the reduction in pattern sizes has led to the identification of new types of resist-related defects such as "missing-hole" defects in contact-hole (C/H) patterns and "bridging" defects in line-and-space (L/S) patterns. Although the satellite, resist-residue, and missing-hole problems have been addressed by implementing new ideas such as extended rinse times, improved development recipes, and the introduction of post-development rinse stages and improved rinse recipes, it cannot be said that these measures are sufficient in terms of processing throughput or effectiveness. In this paper, we investigate the effect of adding chemical additives to the de-ionized water (DIW) rinse used in the development rinse process. Our studies confirm that these additives significantly reduce the quantity of minute defects generated on the wafer without degrading lithography performance, and thus help to improve process throughput. We also investigate the application of this method to immersion lithography, and confirm that this additive procedure also reduces the quantity of defects in immersion lithography processes.
193nm immersion process defect generation and reduction mechanism investigation using analytical methods
Utilizing de-ionized water as the medium between the wafer and lens of the exposure system and realizing high numerical aperture (NA), 193-nm immersion lithography is being developed at a great pace towards practical application. Recent improvements in materials, processing and exposure systems have dramatically reduced the defectivity levels in immersion processing. However, in order to completely eradicate immersion related defects and achieve defectivity levels required for ideal productivity, further investigation into the defect generation mechanism and full understanding of the improvements garnered so far is required. It is known that leaching of resist component materials during exposure and penetration of remaining water from the immersion scanning process are two key contributors towards immersion related defects. Additionally, the necessity to increase the hydrophobicity of the resist materials has had a signification effect on remaining resist residues. In order to more fully understand the generation of defects from the these contributions, it is necessary not only to analyze properties of the defects, but also investigate the change in composition originating from advanced processing techniques that have shown improvements in defectivity performance.
An investigation on defect-generation conditions in immersion lithography
As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect-generation conditions and comparing the classification data between wet and dry exposure, we were able to determine the origin of each particular defect involved in immersion lithography. Furthermore, the comparison of CD for wet and dry processing could indicate the future defectivity levels to be expected with shrinking immersion process critical dimensions.
Poster Session Resist Fundamentals and Simulation
icon_mobile_dropdown
Copolymer fraction effect on acid catalyzed deprotection reaction kinetics in model 193 nm photoresists
A correlation between polymer molecular structure and acid catalyzed reaction kinetics is demonstrated by a photoresist copolymer with an acid-labile and a non-reactive monomer. The acid catalyzed deprotection kinetics depend significantly on the composition of the non-reactive comonomer in the polymer chain. The apparent reaction rate constant decreases monotonically with increasing non-reactive comonomer composition. The phenomena are interpreted as the reduction of diffusivity of photoacid in the polymer matrix from a hydrogen-bonding interaction with the polar group in the inert comonomer. In addition, hydrogen-bonding interactions between the photoacid and the reaction product, primarily methacrylic acid, can account for the acid loss or trapping effect observed by various researchers.
The reaction mechanism of poly[4-hydroxystyrene-co-4-(1,1,1,3,3,3-hexafluoro-2-hydroxypropyl)-styrene]
It is a well-known strategy for the improvement of resist performance to halogenate resist materials especially in electron beam and X-ray resists. However, the halogenation of polymers requires special caution for chemically amplified resists, because it may interfere with acid generation. In this work, the acid generation in poly[4-hydroxystyrene-co-4-(1,1,1,3,3,3-hexafluoro-2-hydroxypropyl)-styrene] films was investigated. Acid yields decrease as the ratio of hexafluoroalcohol units increases. This study showed that the reactivity of the polymers with low energy electrons (~0eV) correlates to the decrease of acid yields.
Analysis of the effect of mechanical strength of the resist film on pattern collapse behavior using atomic force microscope
Recently, pattern collapse is becoming one of the critical issues in semiconductor manufacturing and many works have been done to solve this issue1) 2). Since pattern collapse occurs when outer force onto the resist pattern such as surface tension, impact of rinse solution, etc. surpasses the resistance of the resist pattern such as mechanical strength, adhesion force between resist and substrate, it is considered effective for improvement of pattern collapse to control resist film properties by track process, i.e., optimization of the mechanical properties of the resist film and enhancement of the adhesion force between resist and substrate3) -5). In this study, we focused on the mechanical strength of the resist film and examined how post applied bake (PAB) condition affects the pattern collapse behavior. From ellipsometry measurement, it was found that increasing PAB time and temperature resulted in thickness reduction and refractive index increase, which suggested that the density of the resist film became high. Then we analyzed the mechanical strength of the resist film with the tip indentation method using atomic force microscope. It was found that the hardness of the resist film was affected by PAB conditions and regardless of PAB condition, hardened layer existed beneath the film surface. Finally, we carried out the measurements of loads to collapse 180nm resist dot patterns using the direct peeling with atomic force microscope tip (DPAT) method. Loads ranged from 600 to 2000nN overall and essentially increased as seen for indentation measurements when PAB temperature or time was increased, except some critical conditions. Through these evaluations using AFM, we succeeded in quantitatively evaluate the mechanical properties of the resist films processed with various PAB conditions. It was found that PAB condition obviously impacts on the hardness of the resist film and it is closely related to pattern collapse load.
Resist dissolution behavior according to protecting group in polymer
Kwanghwyi Im, Jin Jegal, Jungkook Park, et al.
In DUV CAR resists, deprotecting reaction and dissolution behavior depend upon the bulkiness and activation energy of protecting group. These factors have influences on resist performance (resolution, focus and exposure latitude margin, line width roughness). For further understanding this behavior, we investigated the dissolution parameters of resist related to the bulkiness, activation energy of protecting group, and we confirmed their effects on the resist performance using lithography evaluation. We will report and discuss the effect of structure and chemical properties of each protecting group on the dissolution behavior of resist in detail in this paper.
Thermal effects study of chemically amplified resist
For the sub-100-nm pattern generation, thermal treatment is one of the new process extension techniques with current day lithography equipment and chemically-amplified resist. The key element to introduce these new techniques is in the understanding of mechanistic behaviors that drive photo resist image rendering. Thermal processes, such as soft bake, post exposure bake, and thermal reflow process, are same thermal processes, but produce different chemical and physical behaviors in the chemically-amplified resist. In this paper, those thermal processes are described and modeled for the property change of a positive type 193 nm chemically amplified resist. Those simulated results agree well with experimental results. Those thermal effects move the boundaries of resist bulk images to a center point and make these boundaries dense. Due to pattern types, the thermal reflow process technology and the overbake and underbake technologies of soft bake and post exposure bake can be used for the 45 nm critical dimension. Combining the benefits of thermal processes becomes possible to produced the below 45 nm critical dimension.
Study of cross-linking reactions in negative-type thick-film resists
This paper describes a study of a cross-linking reaction model for chemically amplified negative-type thick-film resists. Profile simulation is a major technique used to acquire experimental indicators. For this reason, numerous reports address simulation techniques, and many studies have focused in particular on chemically amplified positive-type resists, due to their role as mainstream resist materials used in the production of ICs. However, virtually no research has been performed on the profile simulation of chemically amplified negative-type thick-film resists. We measured the cross-linking reaction of a chemically amplified negative-type thick-film resist and created a new cross-linking reaction model. Our study demonstrates that this new model is more effective for thick-film resists than conventional models.
Reflow modeling for elongated contact hole shape
Ji-Eun Lee, Dai-Gyoung Kim, Kang Baek Kim, et al.
Resist reflow is a simple and cost effective technique by which the resist is baked above the glass transition temperature (Tg) after the typical contact hole pattern has been exposed, baked and developed. Resist reflow method can obtain very high resolution without the loss of process margin than any other resolution enhancement techniques that can make the same linewidth. But it is difficult to predict the results of the thermal flow and the process optimization. If the results of reflow process can be exactly predicted, we can save great time and cost. In order to optimize the layout design and process parameters, we develop the resist flow model which can predict the resist reflow tendency as a function of the contact hole size, initial shape and reflow temperature for the normal and elongated contact hole. The basic fluid equation is used to express the flow of resist and the variation of viscosity and density as a function of reflow temperature and time are considered. Moreover surface tension and gravity effects are also considered. In order to build a basic algorism, we assume that the fluid is incompressible, irrotational and Newtonian. First, we consider the boundary movement of side wall and we think the basic equations for free surface flow of fluid as 2-dimensional time-dependent Navier-Stokes equations with the mass conservation equation. Surface tension acting on the interface pressure difference and gravity force that enable the resist flow are also included.
Poster Session Line-Edge Roughness
icon_mobile_dropdown
Contributions to innate material roughness in resist
A method has been developed to probe the Innate Material Roughness (IMR) of resist materials. We have applied this to EUV and 248 nm resists to deconvolute the material contributions to roughness: 1) the polymer alone, 2) interaction between the polymer, photoacid generator (PAG), base quencher, and photolysis byproducts, 3) the effects of exposure, and 4) development. We studied ESCAP based resists (with more limited data on APEX polymers), an iodonium nonaflate PAG, a tetabutyl ammonium hydroxide (TBAH) base quencher, and standard tetramethylammonium hydroxide (TMAH) development.
Linewidth roughness reduction at the 55 nm node through combination of classical process optimization and application of surface conditioner solutions
Patrick Wong, Wendy Gehoel, Stephan Sinkwitz, et al.
In this paper, the standard ASML process was optimized to reduce LineWidth Roughness (LWR) while minimizing the impact on other process performance criteria such as Depth Of Focus (DOF) and Exposure Latitude (EL). The impact of classical process optimization parameters such as post exposure bake temperature and post exposure bake time were investigated together with less often varied parameters such as hard bake temperature. These parameters were studied in conjunction with novel surface conditioners to reduce LWR. The results show that a significant reduction in the LWR number can be obtained by combining the application of a dedicated surface conditioner solution with the fine tuning of other parameters such as post exposure bake and hard bake temperature. Several process parameters had to be tuned simultaneously to retain a decent process window for the fine tuned process although some EL had to be sacrificed.
Line-width roughness analysis of EUV resists after development in homogenous CO2 solutions using CO2 compatible salts (CCS) by a three-parameter model
Vassilios Constantoudis, Evangelos Gogolides, George P. Patsis, et al.
Line Width Roughness (LWR) of resists constitutes one of the main obstacles in the race of further shrinking the feature dimensions of fabricated devices. Thus, the reduction and control of LWR is one of the biggest challenges of next generation lithographies. In this paper, the LWR output of a new development process of EUV resists which uses homogeneous carbon dioxide (CO2) solutions containing CO2 compatible salts (CCS) has been examined. The measurement and characterization of LWR has been made through the analysis of CD-SEM images and the application of a three-parameter model. The three parameters involved in this model (sigma value σLWR, correlation length ξ, roughness exponent α) determine both the spatial aspects (spectrum) of LWR as well as the interplay between LWR and local CD variations. It is found that wafers developed with CCS process gives substantially lower LWR parameters (σLWR,ξ) than comparable TMAH developed samples. Also, the impact of the preparation of resist wafer (exposure time, PAG and quencher level) and the development conditions (temperature, CCS concentration) on LWR parameters is examined so that we are able to identify trends to lead toward optimized LWR performance.
LWR reduction in ArF resist pattern by resist smoothing process
Yuichiro Inatomi, Tetsu Kawasaki, Mitsuaki Iwashita
With the scaling down of the semiconductor design rule, the requirement to reduce the roughness of the resist pattern used in lithography processing has become crucial. Two typical examples of resist-pattern roughness are line-edge roughness (LER) and line-width roughness (LWR). In particular, as the wavelength of the optical light source has been shortened from 248 nm (KrF source) to 193 nm (ArF source), the problem that LWR is produced with a scaled-down ArF resist pattern has become a cause of deterioration in device characteristics. And with further scaling down in the future, decreasing this LWR on the resist pattern will become a major challenge facing lithography processing. Accordingly, to meet this challenge, it has thus become urgent to find a good method for reducing LWR. At present, for LWR reduction during lithography processing, various measures have been tried and many studies taking different approaches-addressing materials (resist, etc.), exposure technology (mask, etc.), and the track process-have been performed. A decisive method of reducing LWR, however, has not yet been found. Aiming at reducing LWR, we have thus developed a process for treating the post-development resist pattern in an organic-solvent atmosphere. This resist smoothing process is effective method for both Krf resist and Arf resist, moreover it was achieved that VUV pre-treatment improve solvent solubility against resist, especially Arf resist. As a result, the LWR of a resist pattern after undergoing this treatment process was substantially decreased. Moreover, as for the roughness produced during the following etching process for forming the gate electrode, it was confirmed that the effect of decreasing LWR of the resist pattern is carried forward to this next process. In this paper, the developed LWR-reduction method for an ArF ultra-fine pattern is explained, and LWR reduction results achieved with method are presented.
Post-etch LER performance of novel surface conditioner solutions
P. Zhang, M. Jaramillo, S. Cassel, et al.
As line edge roughness (LER) becomes one of the critical lithography challenges, there is a growing interest in applying surface conditioner solutions during post-develop process to reduce LER. In this paper, we evaluated the combined effect of surface conditioners and hard bake on the post-develop LER. There is about 1nm LER reduction, as well as a significant improvement on the common process window for LER. No negative impact on CD process window was observed with the new process. In addition, preliminary etch data showed that surface conditioners have no negative impact on pattern transfer through etch.
Pattern noise in e-beam exposed sub-35-nm contacts
Wojtek Poppe, Alexander Liddle, Erik Anderson, et al.
The variability in the printing of small contacts with electron-beam lithography in a fast high resolution resist was characterized using automated SEM image analysis of an identical array of contact holes. The goal of this study was to evaluate the sources and severity of pattern noise in an e-beam system. A matrix of 391 contacts, 17x23 (dictated by the dimensions of the SEM display 768x1024), was printed at 100KeV on the LBNL nano-writer in KRS-XE2 photoresist. The doses ranged from 28uC/cm2 to 851uC/cm2 with 8nm, 16nm, 24nm, 32nm, and 40nm contact holes. Printed contacts were counted by image processing of SEM images using NIH's ImageJ program. The amount of pattern noise was found to be 14X larger than the noise that would be predicted by the traditional Poisson shot noise of 5500 electrons per contact. Surprisingly, the pattern noise was independent of PEB time and resist thickness. The main source of noise was found to be associated with the surface of the resist, most likely outgassing of acid in the e-beam vacuum chamber. The contact hole experiment provides a practical method for quantifying random effects in evaluating resists, processes, and treatments.
Poster Session NGL
icon_mobile_dropdown
Molecular glass resists for EUV lithography
The semiconductor industry requires new photoresist materials in order to operate in the sub-50 nm regime. In addition to meeting the resolution and line edge roughness requirements, these photoresists must be transparent in the extreme ultraviolet and have excellent etch resistance characteristics. This report highlights several small molecule molecular glasses, which are low molecular weight organic materials that demonstrate a glass transition temperature as well as a low tendency towards crystallization, with new architectures designed for EUV lithography. Transparency at the EUV wavelength of 13.4 nm may be enhanced by incorporation of low absorbing atoms such as C, H and Si. Rigid, asymmetric structures have been included in order to reduce crystallization and increase Tg. Studying the effects of these design characteristics across a continuum of architectures enables greater insight into the factors affecting photoresist performance.
The material design to reduce outgassing in acetal-based chemically amplified resist for EUV lithography
Seiya Masuda, Yasutomo Kawanishi, Shuuji Hirano, et al.
In case of EUV lithography, resist material needs to be developed to improve high sensitivity and to minimize outgassing. The outgassing segments from resist were mainly from PAG decomposition. The new type PAG was synthesized by modifying cation group of the sulfonium salt. The sensitivity of the PAG could be enhanced by loading electron withdrawing group on the PAG, which has low volatility during EUV exposure. Then the newly developed PAGs were capable to reduce outgassing during EUV exposure maintaining high acid generating efficiency against EB and EUV exposure. The other approaches to improve the resist sensitivity and minimizing outgassing property were to design PHS based polymer platform with bulky acetal group. The various kind of acetal groups were simulated to determine the Van der Waals volume. The bulkiness of the protection group is effective to both a) increasing inhibition rate of the resist matrix and b) boiling points of deprotected group. The optimum protection ratio has been studied to obtain proper dissolution rate changes before and after exposure. The resist sensitivity is depend on the protection ratio of the bulky acetal group. By modifying PAG and optimizing bulky acetal group in the polymer, it is possible to minimize the amount of the outgassing segments during EUV exposure maintaining high resist sensitivity. The EUV exposure was demonstrated. It was observed that the resist had a high resolution capability in EUV exposure.
Optimization of photoacid generator in CA resist for EUVL
We succeed in developing beneficial photoacid generator (PAG) for EUV exposure. In a high annealing type resist system in which poly-hydroxystyrene employed as a base resin, we found that sulfonium salts which employed cyclo(1,3-perfluoropropanedisulfone) imidate employed as a anion of PAG is more sensitive than perfluorobutanesulfonate employed as an anion of PAG under extreme ultraviolet (EUV) exposure. However, the sensitivities were different under EUV and electron beam (EB) exposures. It indicates that the distinctive acid production reaction is occurred under EUV exposure in comparing under EB exposure. As results of the time dependency mass spectroscopy and the Fourier Transform Infrared Spectroscopy (FT-IR), EUV induced reaction of cyclo(1,3-perfluoropropanedisulfone) imidate employed as an anion of PAG occurred more efficiently than that of perfluorobutanesulfonate employed as an anion of PAG.
Performance comparison of chemically amplified resists under EUV, EB, and KrF exposure
Daisuke Shimizu, Nobuji Matsumura, Toshiyuki Kai, et al.
EUV exposure is crucial to evaluate EUV resists but there are currently a limited number of EUV exposure tools available worldwide. Therefore, an alternative exposure method should be considered to accelerate EUV resist development. To design materials for EUV resist, it is useful to identify and characterize acid generation mechanisms under EUV exposure. To do this, a performance comparison under EUV, EB and KrF exposure was performed to gather information about the acid generation mechanism during EUV exposure. In this paper, the performance of chemically amplified resists under EUV, EB and KrF was compared regarding sensitivity, LWR and pattern-profile not only to consider alternative exposure methods but also to elucidate the acid generation mechanism under EUV exposure. Regarding sensitivity, good correlation was observed between EUV and EB exposure, however, in regard to LWR and resist pattern profile, poor correlation was observed between EUV and EB exposure, and between EUV and KrF exposure. As a result, alternative exposure methods could be used only for basic evaluation and it was determined that EUV exposure was necessary for EUV resist development using chemically amplified resist. From the correlation of sensitivity between EUV and EB exposure, it is suggested that the main acid generation mechanism under EUV exposure was ionization.
Development of EUV resists in homogenous CO2 solutions using CO2 compatible salts (CCS): a kinetic view of dissolution of conventional resists in supercritical CO2
James DeYoung, Mark Wagner, Chris Harbinson, et al.
A novel method for the development of standard EUV photoresists in CO2 using CO2 compatible salts (CCS) is described and examined using a quartz crystal microbalance (QCM) technique in CO2. The fundamental steps of this development process are proposed to be 1) photoresist modification via CCS interaction with Bronsted acid groups in the resist; and 2) dissolution of the modified resist into CO2. Removal rates of non-exposed photoresist from the quartz crystal can be studied in real time under a variety of conditions to help elucidate the kinetics and mechanism of the CCS development process. A series of runs from 35 to 50 C at the same CO2 density showed a strong effect of temperature on the development rate. In the presence of a large excess of CCS, the kinetics of dissolution appear to be zero order. An Arrhenius plot generated by this data gave an activation energy of 79.0 kJ/mol. The zero order kinetics of dissolution was confirmed with a series of runs at various CCS concentration that showed insignificant rate effects. Dynamic flow of the CCS solution in the QCM cell gave ~50% increase in rate of resist removal relative to the standard conditions using static CCS solution. The indication from the three sets of QCM experiments described here is that dissolution of the CCS modified polymer is the slow step in CCS development.
Development of EUV resists in supercritical CO2 solutions using CO2 compatible salts (CCS): Results from a two-level full factorial design of experiments (DOE)
Mark Wagner, James DeYoung, Chris Harbinson, et al.
Direct development of EUV resists in homogeneous carbon dioxide (CO2) solutions containing CO2 compatible salts (CCS) has been demonstrated. These CCS complexes have been designed and prepared such that the anion and/or the cation of the salt contains at least one CO2-soluble portion. In the described method, standard positive tone EUV resists are processed in supercritical CO2 containing less than 20 mM CCS, at pressures ranging from 3500 to 5500 psi, 35 to 65 C with cycle times as short as 1 minute to give reverse image development. Substantial reduction in image collapse and LER/LWR has been observed; large aspect ratios approaching 10 have been measured in dense line/space features, and dense lines with 3 sigma LWR values that are 30% smaller than comparable TMAH developed samples have been observed. This paper will describe results from a two level full factorial design of experiments (DOE) using four factors. Two experimental samples were processed at each point, along with four center point runs to give a total of 36 experimental samples. An additional seven supplemental runs were also prepared. Responses measured for the DOE include half height resist thickness, resist height, contrast, iso/dense bias, aspect ratio of collapse, line edge roughness, and line profile. Details of how the responses were measured will be presented in the paper. Effects of individual factors on each response, along with the significant interactions between factors will be reported. An optimized parameter space will be selected and additional follow-up experiments will be described.
Evaluation of most recent chemically amplified resists for high resolution direct write using a Leica SB350 variable shaped beam writer
Anatol Schwersenz, Dirk Beyer, Monika Boettcher, et al.
E-beam direct writing, one node ahead of advanced optical lithography, can be a time and cost effective option for early device and technology development as well as for fast prototyping. Because of the device complexity only a variable-shaped e-beam writer combined with sensitive chemically amplified resists (CAR) can be considered for this approach. We evaluated various pCARs and nCARs of all major suppliers for our goal to structure DRAMs of the 50nm node using the Leica SB350 e-beam writer. The most promising samples were selected for a process optimization by variation of bake and development conditions. Finally, one resist of each tonality met the most of our specifications like dense lines and contact holes resolution, sensitivity and vacuum stability.
Poster Session Novel Processes and Applications
icon_mobile_dropdown
Self-aligned direct write of a double-sided transistor gate on membrane using an evaporated e-beam resist
Jacques Beauvais, Prasad Kelkar, Eric Lavallée, et al.
High resolution electron beam lithography poses severe constraints on any suitable resist, namely the need to work with very thin layers in order to achieve highest resolutions, while at the same time possessing suitable resistance to plasma etching. Small molecular sizes are also an interesting avenue for reducing line edge roughness, but result in an increased threshold exposure dose. Several resists currently available cover the range from high resolution to high sensitivity. One interesting property demonstrated using the QSR-5TM resist is substrate conformability. This thermally evaporated resist has a controllable thickness down to 30 nm and surface roughness less than 2 nm and can be deposited onto very small surfaces. In this paper, we will present the results of patterning this resist in a configuration which may be suitable for very high speed field effect devices. A silicon nitride membrane 300 nm thick was prepared on a silicon substrate. QSR-5TM resist is then deposited in two steps, using a Joule effect thermal evaporator with the resist in a powdered state. After a deposition of 30 nm of resist, the substrate is flipped over and a second identical layer is deposited. The membrane is removed from vacuum during the reversal process. The lithography step follows the deposition step and is carried out using a field emission gun SEM converted to electron beam lithography operated at a beam energy of 20 keV. Test patterns with feature sizes ranging from 45 nm to 130 nm were successfully exposed. The advantage of this method is that perfect alignment between the patterns is obtained on both sides of the resist.
40-100nm contact-hole processes of ZEP520A e-beam resist on PCM prototyping applications
Wei-Su Chen, Yen Chuo, Hong-Hui Hsu, et al.
ZEP520A e-beam processes for 40-100nm contact holes were studied for application of phase change memory (PCM) device prototyping. Resist baking, e-beam and development process parameters were investigated on the isolated and semi-dense (1:3) contact holes. PAB temperature for minimum exposure dose-to-size (ESIZE) is 70°C. ESIZE of 200°C PAB is 250 μC/cm2 while that of 70°C is 120 μC/cm2 for 100nm contact hole. ESIZE of contact hole increases very quickly as the CD gets smaller than 60nm. CDs with beam currents of 100pA and 200pA are nearly the same while that with 2nA differs much. Sidewall profiles of contact holes exposed by 100pA and 200pA are near 90° while that exposed with 2nA is tapered. ESIZE decreases with development time. Bottom of contact hole is broadened for prolonged development time. CDs after PDB are not changed. There is little difference in CD between isolated and semi-dense patterns. CD uniformity on the corner and center of contact-hole array are around 5% (+/-3σ), showing a very weak proximity effect. Inter-layer mix-and-match processes were applied to PCM manufacturing. Cross-shaped alignment marks results in the strongest signal waveform on TiW bottom electrode than oxide and TiN/Ti. Mix-and-match PCM device structure was, for the first time, ever demonstrated.
Monodisperse nanocarriers: novel fabrication of polymeric nanoparticles for bio-nanotechnology
Larken E. Euliss, Christopher M. Welch, Benjamin W. Maynor, et al.
The delivery of therapeutic, detection and imaging agents for the diagnosis and treatment of cancer patients has improved dramatically over the years with the development of nano-carriers such as liposomes, micelles, dendrimers, biomolecules, polymer particles, and colloidal precipitates. While many of these carriers have been used with great success in vitro and in vivo, each suffers from serious drawbacks with regard to stability, flexibility, or functionality. To date, there has been no general particle fabrication method available that afforded rigorous control over particle size, shape, composition, cargo and chemical structure. By utilizing the method we has designed referred to as Particle Replication In Non-wetting Templates, or PRINT, we can fabricate monodisperse particles with simultaneous control over structure (i.e. shape, size, composition) and function (i.e. cargo, surface structure). Unlike other particle fabrication techniques, PRINT is delicate and general enough to be compatible with a variety of important next-generation cancer therapeutic, detection and imaging agents, including various cargos (e.g. DNA, proteins, chemotherapy drugs, biosensor dyes, radio-markers, contrast agents), targeting ligands (e.g. antibodies, cell targeting peptides) and functional matrix materials (e.g. bioabsorbable polymers or stimuli responsive matrices). PRINT makes this possible by utilizing low-surface energy, chemically resistant fluoropolymers as molding materials and patterned substrates to produce functional, harvestable, monodisperse polymeric particles.
Supercritical CO2 for high-resolution photoresist development
Nelson Felix, Kousuke Tsuchiya, Camille Man Yin Luk, et al.
Today's conventional microelectronic fabrication processes are able to produce features in the 100nm range or lower. However, tighter regulation of toxic chemical release, as well as the increasing energy cost associated with treating rinse water, means that the semiconductor industry is primed for the adoption of alternative solvent processes. Supercritical CO2 (scCO2) is a promising candidate as a replacement solvent. It is inexpensive, environmentally benign, combines the best properties of both a gas and liquid and can be easily separated from solutes. We have recently shown scCO2 to be a prime replacement solvent for photoresist development, and have formulated photoresists for both positive and negative tone development processes. We demonstrate the solubility of small non-polymeric, molecular glass compounds in supercritical CO2. Molecular glasses form amorphous films on planar substrates and may show excellent solubility in scCO2 without the incorporation of fluorine or silicon. Using a phenolic molecular glass, line-space features of 50 nm were developed in scCO2.
Monitoring photoresist dissolution in supercritical carbon dioxide using a quartz crystal microbalance
Amy E. Zweber, Ruben G. Carbonell
New lithographic techniques are being implemented to help further reduce feature sizes in microelectronics. A technique for the development of standard commercial extreme ultraviolet (EUV) photoresists in a carbon dioxide compatible salt (CCS) and supercritical carbon dioxide (scCO2) solution is being investigated to reduce line edge roughness and image collapse of high aspect ratio features.1,2 To understand the kinetics and overall mechanism of photoresist dissolution into the high pressure CCS/scCO2 solution, we use a quartz crystal microbalance (QCM). QCM measures the frequency changes of the quartz crystal when mass loadings, temperature, pressure, and solution viscosity change. In the last decade, QCM has been used to monitor dissolution of photoresist materials in liquid solutions in real time.3 The technique has been adapted to high pressure systems, with corrections for pressure and solution viscosity effects.4 In this paper, QCM was used in high pressure scCO2 conditions to monitor the dissolution kinetics of the photoresist using the CCS/scCO2 solution. The frequency changes of the quartz crystal were recorded and corrected for both pressure and solution viscosity to estimate the mass removed as a function of time. The initial photoresist dissolution rates in the CCS/scCO2 solution at temperatures between 35°C and 50°C and pressures ranging from 3500 psi to 5000 psi are reported. The plots of photoresist removal with time are linear signifying a zero order overall removal rate. The activation energy for photoresist removal at a CO2 density of 0.896 g/ml is 76 mJ/mol.
Study of dynamical formation and shape of microlenses formed by the reflow method
Microlenses arrays are commonly used in CMOS images sensors to focus the incident light onto the photosensitive area of the pixel. These microlenses are fabricated using a thermal reflow method. Currently, due to the fast evolution of CMOS Imager technology, the understanding of the mechanisms involved in microlens formation becomes essential to better control what occurs during the process. We have seen in a previous study that the complexity of the reflow method comes from the competition between two phenomena occurring during the melt bake step: on one hand the surface tension tends to push the resist patterns into a spherical shape, on the other hand the resist crosslinking reaction drastically increases the resist viscosity hindering the microlens formation. In this paper the influence of resist crosslinking, resist volume and resist/substrate interface on the final shape of the microlens has been investigated. It appears that the contact angle between microlens and substrate varies depending on substrate wettability but is the same whatever the resist volume for a given substrate/resist combination. The microlens shape depends also significantly on bake temperature and crosslinking kinetics. In fact the right tuning of process conditions seems to be the key parameter in the control of the final microlens shape because it enables to adjust the kinetics of each mechanism and thus favour the microlens formation with regards to resist crosslinking.
Microlens formation using heavily dyed photoresist in a single step
Chris Cox, Curtis Planje, Nick Brakensiek, et al.
The work described here produced a new method of forming microlenses which requires fewer processing steps, eliminates the need for reflow or photoresist etching steps, and can be used with an inexpensive mask to form arrays. In this new method, a strongly absorbing dye is added in high percentage to a normal positive i-line photoresist. This photoresist is then processed at a much higher exposure dose than the normal photoresist. This paper describes simulated microlens structures as predicted by PROLITH as well as actual lens structures that were produced with the new method. This newly developed method is designed to enable the formation of microlenses at significant cost savings and with increased process control.
Faster sensitivity and non-antimonite permanent photoresist for MEMS
Koichi Misumi, Koji Saito, Atsushi Yamanouchi, et al.
Micro Electro Mechanical Systems (MEMS) is a three-dimensional micro-fabrication technology based on photolithography. The fields of application are extensive and wide-ranging. Among the applications, those that have already acquired a large market include acceleration sensors for airbags of automobiles, pressure sensors for engine control, inkjet printer heads and thin film magnetic heads. The market is expected to further expand in the optic and biology-related fields in the future. In the MEMS field, the packaging accounts for the cost, and it is difficult to standardize due to the low production volume of highly specific technology application. A typical application in the MEMS process would be to conduct plating and etching (Deep RIE) through an intermediate layer of photoresist patterns, but there are cases where the photoresist itself is left therein as a permanent film. A photoresist composed of epoxy resin as the main component can form the permanent film through a catalyst of the optical cationic polymerizating initiator. In general, the optical cationic polymerizating initiator is of onium salt with antimonite as the anion group due to the nature of the hardening rate or the exposure energy. This paper presents the development status of a high sensitivity permanent photoresist made of epoxy resin as the main component with non-antimonite optical cationic polymerizating initiator with concerns to the impact to the environment and material for packaging.
Laser-induced oxidation of metallic thin films as a method for creating grayscale photomasks
Glenn H. Chapman, Yuqiang Tu, Chinheng Choo, et al.
Bimetallic Bi/In films demonstrate grayscale levels after exposed with different laser powers due to controlled film oxidation. Although large optical density (OD) change from 3.0 OD to 0.22 OD at 365 nm was observed, these films show a rapid and nonlinear OD change with laser power, which is not desirable for fine control of grayscale levels. This paper aims to explore and evaluate some new metal films as possible candidates for direct-write grayscale photomask applications. Sn/In, Al/Zn, Bi/In/O and Al/In films were DC-sputtered onto glass slides and then were raster-scanned by argon CW laser. Among these films, the highest OD change at 365nm was found in Sn/In film, Al/Zn shows the most linear relation of OD to laser power modulation, and Bi/In/O has the best over-all performance as a potential grayscale mask material. A grayscale test photomask of 16×16, 20μm squares over the full OD range was made using Bi/In/O and a test exposure created squares of different heights on regular photoresist. Interference lithography using 266nm DUV has been utilized to investigate the resolution limit of these bimetallic films, which can generate much finer structures. The true resolution limit of Bi/In should be at least less than 50nm.
Chemically amplified thick film i-line positive resist for electroplating and redistribution applications
Medhat Toukhy, Salem Mullen, Margareta Paunescu, et al.
Adapting chemically amplified (CA) resist technology to thick film applications is demonstrated in this paper over a wide range of thicknesses and types of substrates. Substantial performance differences were observed over copper (Cu) substrates compared to silicon (Si). These differences are attributed to different photo acid generator (PAG) distribution in the resist depth influenced by its structure and the nature of the substrate. Optimized resist formulations were developed to provide acceptable performance on Cu wafers. A family of new chemically amplified thick film resist products is being introduced to the market. This technology offers significant advantages in throughput and performance over conventional novolak / diazonaphthoquinone (DNQ) products at a competitive cost.
Highly re-entrant profiles in a thick photosensitive material for nanotechnology applications
Warren W. Flack, Ha-Ai Nguyen, Mark Shaw, et al.
The requirements for highly specialized photosensitive materials for nanotechnology and Micro-Electro-Mechanical Systems (MEMS) applications are being driven by the rapid growth of consumer products incorporating these devices. These high volume consumer devices including accelerometers for air-bag sensors, biomedical sensors, optical switches and ink jet print heads. These applications all require ultra-thick photosensitive materials with highly controllable lithographic properties. For ink-jet print head applications, the lithography requirements include the formation of high aspect ratio structures with a negative (re-entrant) profile for nozzle formation. In order to form the required nozzle geometry for high resolution ink-jet printers, photosensitive materials need to be capable of providing up to 10 degree negative profiles at a film thickness of up to 25 microns. For consistent print dot size it is necessary to maintain excellent control and repeatability of the sidewall angle of the nozzle. Since this material remains on the substrate as a permanent part of the ink-jet print head, the mechanical and adhesive properties of the material are as important as the lithographic properties. This paper investigates modifications to an existing MicroChem epoxy-based SU8-4000 thick photoresist to generate highly re-entrant sidewall angles for next-generation high resolution ink-jet nozzle formation. Multiple versions of SU8-4000 with different levels of dye tuned for the exposure wavelength are exposed using a 1X lithography system optimized for thick photoresist processing. This stepper uses a combination of low numerical aperture, broadband exposure and large focus offsets for optimal processing of thick photosensitive materials. Basic photoresist characterization techniques in conjunction with cross sectional SEM analysis are used to establish lithographic capabilities for nozzle formation.
Acidolysis small molecular phenolic ether used as accelerator in photosensitive diazonaphthaquinone systems
Haihua Zhou, Yingquan Zou
The photosensitive compounds in the photosensitive coatings of positive PS plates are the diazonaphthaquinone derivatives. Some acidolysis small molecular phenolic ethers, which were synthesized by some special polyhydroxyl phenols with vinyl ethyl ether, are added in the positive diazonaphthaquinone photosensitive composition to improve its sensitivity, composed with photo-acid-generators. The effects to the photosensitivity, anti-alkali property, anti-isopropyl alcohol property, dot resolution and line resolution of the coatings are studied with different additive percent of the special phenolic ethers. In the conventional photosensitive diazonaphthaquinone systems for positive PS plates, the photosensitivity is improved without negative effects to resolution, anti-alkali and anti-isopropyl alcohol properties when added about 5% of the special acidolysis phenolic ethers, EAAE or DPHE, composed with photo-acid-generators.
A novel photosensitive material for redistribution and stress buffer reduction on 300mm wafers
Warren W. Flack, Ha-Ai Nguyen, Elliott Capsuto
The widespread adoption of advanced packaging techniques is driven by electrical device performance and chip form factor considerations. Flipchip packaging is currently growing at a 25% compound annual rate and it is expected that 90% of all 65 nm logic devices will be bumped. To ensure optimal productivity and cost of ownership, it is imperative to employ lithographic materials that are optimized for these applications and that meet all device specifications. Bump processing typically has one or more levels that require a permanent layer either to relieve stress on the die (stress buffer layer) or to redistribute electrical connections (redistribution layer). Since these layers remain on the wafer, the mechanical and electrical properties of the material are as important as the lithographic properties. This study will characterize a novel negative, siloxane (Shin-Etsu SINR(R)) photoresist for the redistribution and stress buffer application on 300 mm wafers. Siloxanes are a good choice for redistribution and stress buffer layers because of their excellent physical properties, ease of processing and relatively low cure temperatures. The lithographic performance of the SINR is optimized using a broad band, low numerical aperture, 1X stepper. This study evaluates softbake, post exposure bake (PEB), develop conditions and exposure optimization. Due to decreasing feature size at the redistribution level, it is critical to demonstrate CD uniformity and resolution across the entire 300 mm wafer surface. While the CD uniformity data is collected on 300 mm wafers, all process optimization results will be applicable for all standard wafer sizes. The physical properties of the SINR material are evaluated through curing temperature studies and sputtering tests.
Development of optimized filter for TARC and developer with the goal of having small pore size and minimizing microbubble reduction
Toru Umeda, Shuichi Tsuzuki, Mikal Boucher, et al.
Microbubble in filtering Tetra Methyl Ammonium Hydroxide (TMAH) were counted to find the filter which generates the lowest microbubble in resist development process. Hydrophilic Highly Asymmetric Poly Aryl Sulfone (HAPAS) filter was developed and tested. The result showed that generation of microbubbles was as low as that of the Nylon 6,6 filter which had the best performance to date. Microbubbles in TARC are counted using the same method as the developer testing described above except for mainstream flow rate and the counter model. The results show that counts in the small channel could be reduced by smaller pore size filter such as conventional 0.02um rated filter. However, counts in the larger channel could be reduced by larger pore size filter such as 0.1um rated filter. Based on the above results, 0.02um rated asymmetric nylon 6,6 filter was developed. As a result, 0.02um rated asymmetric Nylon 6,6 filter achieved relatively lower count at any channel as compared to the standard 0.04um rated Nylon 6,6 filter. Nylon 6,6 filters were installed in resist as an improvement for preventive maintenance (PM) at Wafertech, L.L.C. instead of the currently used filter which has more hydrophobic membrane material. Using the Nylon 6,6 membrane, the number of defects immediately after filter change greatly decreased from 493 pcs of the more hydrophobic filter to 6 pcs/wafer, then after purging with about 250ml, the number of defects reduced within the process specification while the more hydrophobic filter had required 2L purging and 12-36 hours of PM time.
A lamp thermoelectricity based integrated bake/chill system for advanced photoresist processing
Arthur Tay, Hui-Tong Chua, Xiaodong Wu, et al.
The design of an integrated bake/chill module for photoresist processing in microlithography is presented, with emphasis on the spatial and temporal temperature uniformity of the substrate. The system consists of multiple radiant heating zones for heating the substrate, coupled with an array of thermoelectric devices (TEDs) which provide real-time dynamic and spatial control of the substrate temperature. The TEDs also provide active cooling for chilling the substrate to a temperature suitable for subsequent processing steps. The use of lamp for radiative heating also provide fast ramp-up and ramp-down rates during thermal cycling operations. The feasibility of the proposed approach is demonstrate via simulations based on first principle heat transfer modeling. The distributed nature of the design also means that a simple decentralized control scheme can be used to achieve tight spatial and temporal temperature uniformity specifications.
The new bake plate optimized for a PEB process
Shigehiro Goto, Keiji Matsuchika, Akihiro Hisai
It is well known that within wafer Critical Dimension (CD) uniformity is especially affected by baking temperature variation during Post Exposure Baking (PEB) process of 193nm photolithography. To develop new baking unit for better CD uniformity, it is important to understand Dynamic Temperature CD Sensitivity (DTCS) of PEB processing at each baking process step. This paper studies the DTCS in the PEB process applying unique experimental procedures. We have added an intentional temperature tilt within wafer at particular processing time during PEB, and we have estimated DTCS from CD and temperature deviation within wafer. Using those scattered data, DTCS curves during PEB process were obtained. The high values of DTCS curve appeared just before the temperature of the wafer reaching the setting temperature of the hot plate. This fact indicates that temperature variation within wafer on this particular step has a large impact on CD uniformity. Therefore, the key to secure highly accurate CD uniformity is to improve temperature controllability within wafer on this processing period in PEB process. Based on these results, we have conducted three optimizations of bake plate for the improvement of the temperature controllability within wafer. CD uniformity was also evaluated with 193nm photoresist.
Advanced photoresist dispense valve control technology
Garrett Standley, Brian Kidd, Kevin Hartman
Resist minimization techniques have been around since the mid-1990s. As the volume decreases, the accuracy of the systems must increase. These inaccuracies can negate any savings that could potentially be acquired due to rework or even scrap. This has become a problem for older factories with pumps that are not accurate below 2.0ml. In order to help with these issues, Integrated Designs has created the digital dispense valve. This mechanical addition can prolong the life of a pump and saving the costly pump replacement scenario. The digital dispense valve increases the accuracy at lower dispense volumes and give more repeatable suckback control than was previously available for this generation of pump systems.
Resists for sub-100nm patterning at 193nm exposure
Current resist materials suffer from a number of problems which must be addressed to allow continued scaling of memory and logic devices. The incompatibility of the photoacid generator (PAG) and the polymer matrix is addressed in this study. This incompatibility leads to lowered acid generation efficiency, non-uniform acid distribution and migration, and phase separation. These issues ultimately lead to undesirable, premature and non-uniform deprotection reactions in the chemically amplified resist. To alleviate these problems, it is proposed that PAG units be incorporated in the resist chain to make a one-component resist, rather than blending monomeric PAG with the resist polymer. Also, polymer bound PAG resists exhibit higher stability, lower outgassing, and lower line edge roughness (LER) than corresponding resists. The polymer bound PAG resists, poly (γ-butyrolactone methacrylate-co-2-ethyl-2-adamantyl methacrylate-co-PAG), were synthesized using free radical polymerization. PAG incorporated resists, as well as PAG blended resists were exposed using the 193 nm ASML 5500/9xx optical lithography system, with 0.63 NA. Exposed wafers were evaluated using SEM. The triflate PAG incorporated resists provided 110 nm (220 nm pitch) line space features, and 80 nm isolated features. The PAG blended resists provided 130 nm (260 nm pitch) line space features. The associated photospeed for the 110 nm line space features was 8.2 mJ/cm2, which is within road map standards.