Proceedings Volume 6151

Emerging Lithographic Technologies X

cover
Proceedings Volume 6151

Emerging Lithographic Technologies X

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 10 March 2006
Contents: 21 Sessions, 124 Papers, 0 Presentations
Conference: SPIE 31st International Symposium on Advanced Lithography 2006
Volume Number: 6151

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Keynote Session
  • EUV Systems I
  • Advanced Mask I
  • EUV Optics
  • Nano-Imprint Lithography I
  • EUV Source I
  • EUV Systems II
  • Maskless
  • Nano-Imprint Lithography II
  • Advanced Mask II
  • Electron-Projection Lithography
  • Novel Lithography
  • EUV Source II
  • Poster Session Advanced Mask
  • Poster Session Electron-Projection Lithography
  • Poster Session Maskless Lithography
  • Poster Session Nano-Imprint Lithography
  • Poster Session EUV Systems
  • Poster Session EUV Optics
  • Poster Session Nanotech
  • Poster Session EUV Source
Keynote Session
icon_mobile_dropdown
A year in the life of immersion lithography at Albany Nanotech
Immersion Lithography continues to get more and more attention as a possible solution for the 45nm technology node puzzle. In 2005, there has, indeed, been a lot of progress made. It has gone from a laboratory curiosity to being one of the industry's prime contenders for the lithography technology of choice for the 45nm node. Yet a lot of work remains to be done before it's fully implemented into production. Today, there are over a dozen full field immersion scanners in R&D and pilot lines all around the world. The first full field, pre-production "Alpha" version of the ASML Twinscan AT 1150i was delivered to Albany NanoTech in August, 2004. A consortium made up of AMD, IBM, Infineon, and Micron Technology began early evaluation of immersion technology and in December of 2004, the production of the world's first Power PC microprocessor using immersion lithography, processed on this tool, was announced by IBM. This paper will present a summary of some of the work that was done on this system over the past year. It will also provide an overview of Albany NanoTech, the facility, its capabilities, and the programs in place. Its operating model, which is heavily focused on cooperative joint ventures, is described. The immersion data presented is a review of the work done by AMD, IBM, Infineon Technologies, and Micron Technology, all members of the INVENT Lithography Consortium in place at Albany NanoTech. All the data was published and presented by the authors in much more detail at the 2005 International Symposium on Immersion Lithography, in Bruges, Belgium.
EUV Systems I
icon_mobile_dropdown
EUV pellicle development for mask defect control
The absence of a reliable non-removable pellicle is a significant obstacle in the development of EUV lithography. In this paper we present analytical and experimental results of a pellicle concept. The concept is based on the development of an EUV transmissive film supported with a wire-mesh. The form factor of the proposed solution is not different from a standard pellicle application, thus this would not require dramatic tool design changes. Results from developmental studies of two materials, silicon (Si) and ruthenium (Ru), are presented. As expected, Si shows oxidation on both surfaces of the thin film, while the less transmissive Ru has excellent resistance to oxidation. Spectral analysis at EUV wavelengths of pellicle coupons agrees very well with the theoretical analysis.
Nikon EUVL development progress summary
Extreme Ultra Violet Lithography (EUVL) is considered as the most promising technology below hp45nm node, following ArF immersion lithography. In this paper we would like to present significant progress on the development of EUV exposure tool with recent encouraging data of mirror polishing accuracy and evaluation results of Nikon reticle protection concept. EUV exposure tool consists of major important modules such as EUV light source, projection optics, and so on. As far as EUVL optics development is concerned, through the development of high-NA small-field EUV exposure system (HiNA), our mirror polishing and metrology technologies of aspheric mirror surface and multi-layer coating technology have been remarkably improved and enable us to fabricate high-precision aspheric mirrors which meet the specification for EUV pre-production tools called EUV1. In the EUVA (Extreme Ultraviolet Lithography System Development Association) project, we have developed new polishing technologies such as ion-beam figuring and new high-precision interferometers for aspheric surface metrology. Wave front sensor systems have been also developed partly in EUVA project. Installation of a new wave front sensor system which can be used for evaluating the full-field projection optics with EUV light has already been started in New SUBARU synchrotron facility in University of Hyogo. EUV1 tool system design and its detailed design of all modules such as full-field projection optics module, illumination optics module, vacuum body module, vacuum compatible reticle/wafer stage modules, reticle/wafer loader modules have been completed. The results of development and prototyping of major modules such as vacuum stage modules and vacuum body module have been reflected in the actual tool design. Nikon has been also heavily involved in the infrastructure development such as mask handling development. In order to meet industry demands, Nikon has been already getting into EUV1 module fabrication phase. Nikon announces that EUV1 tool is scheduled to be delivered in 1st half of 2007.
Schwarzschild-objective-based EUV micro-exposure tool
Uwe Detlef Zeitner, Torsten Feigl, Tino Benkenstein, et al.
Diffraction limited 20x Schwarzschild objectives have been fabricated for various applications at 13.5nm wavelength. For this purpose the major parts of the whole technology chain for the realization of diffraction limited reflective optical systems working in the EUV spectral region have been established. This chain includes: optical design of the system, mechanical construction of mounting structures on the basis of extensive stress and thermal analysis, development of adhesive free mountings, high-reflective Mo/Si multilayer coatings for use at 13.5nm wavelength, assembly of the whole objective system, development of adapted semiconductor detectors for 13.5nm. The realized Schwarzschild objectives with a numerical aperture of NA=0.2 have been integrated into different optical set-ups such as a table top scanning micro exposure tool and an EUV microscope. The EUV micro exposure tool is currently used for various EUVL-related applications such as investigations of resolution limiting factors and EUV resist sensitivity test stand. Properties and performance of both the Schwarzschild objective and the optical set-up are presented in the paper.
Evaluation of resolution and LER in the resist patterns replicated by EUV microexposure tools
To find resists having high resolution accompanied with good sensitivity and small LER is a big issue in EUV lithography to make path for volume manufacturing. We have started screening of resists by using high numerical aperture (NA) micro-exposure tool HiNA. Some of the results within 29 evaluated resists, including commercial and non-commercial, are presented with the consideration of relationship between optical conditions. The results obtained by another high NA micro-exposure tool MET located Berkeley National Laboratory are also shown and compared with the results by HiNA. In both exposure tools, down to 28 nm dense patterns were replicated but the LER was about 4 nm at best showing the requirement for further works
First performance results of the ASML alpha demo tool
Hans Meiling, Henk Meijer, Vadim Banine, et al.
The ASML EUV alpha demo tool is operational! The alpha demo tool is a 0.25NA fully functional lithography tool with a field size of 26×33 mm2, enabling process development at the 40-nm technology node. In this paper we describe the tool performance, show that vacuum is achieved in a few hours, and demonstrate that our optics contamination strategy mitigates degradation of the optics. Additional data shows the Sn source cost-of-ownership to be comparable to state-of-the-art ArF source systems, by implementing a collector contamination mitigation strategy that includes cleaning. And, we present our first 35-nm dense lines and spaces (half pitch) resist images.
Advanced Mask I
icon_mobile_dropdown
EUVL mask blanks: Recent results on substrates, multilayers and the dry-etch process of TaN-absorbers
Holger Seitz, Markus Renno, Thomas Leutbecher, et al.
Continuous reduction of feature size in semiconductor industry and manufacturing integrated circuits at low costs requires new and innovative technology to overcome existing limitations of optics. Tremendous progress in key areas like EUVL light source technology and manufacturing technology of EUVL masks with low defect rates have been made recently and EUVL is the leading technology capable to be extended so Moore's law, the shrinkage of IC critical features, can continue to be valid. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks, ranging from Low Thermal Expansion Material (LTEM) with high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the roadmap requirements. Further R&D is ongoing to path the way to the production of EUV blanks which meet all requirements. An important focus of this paper is to present the recent results on LTEM substrates, which include defect density, roughness and flatness simultaneously, as well as EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range and optical resistance to cleaning steps. In addition the design of EUVL absorber material will be discussed, including optical performance at EUV wavelength and its contrast behavior. Finally, IMS Chips has developed the dry etch process of these EUV Mask Blanks by optimizing etch selectivities, profiles and etch bias. Results on CD uniformity, linearity and iso/dense bias will be presented.
Demonstration of phase-shift masks for extreme-ultraviolet lithography
We report on a method to produce any type of phase-shift masks for EUV lithography. We have successfully fabricated an unattenuated phase-shift mask consisting of phase patterns and confirmed the expected performance of such a mask through resist printing at λ=13.3 nm. Finally actinic metrology reveals that these etched-multilayer masks, left without a capping layer, tend to degrade over time.
RIM-13: A high-resolution imaging tool for aerial image monitoring of patterned and blank EUV reticles
M. Booth, A. Brunton, J. Cashmore, et al.
Key features of the RIM-13 EUV actinic reticle imaging microscope are summarised. This is a tool which generates aerial images from blank or patterned EUV masks, emulating the illumination and projection optics of an exposure tool. Such images of mask defects, acquired by a CCD camera, are analysed using the tool software to predict their effect on resist exposure. Optical, mechanical and software performance of the tool are reported.
EUV mask and chuck analysis: simulation and experimentation
Extreme ultraviolet (EUV) masks and mask chucks require extreme flatness in order to meet the performance and timing specified by the International Technology Roadmap for Semiconductors (ITRS). The EUVL Mask and Chucking Standards, SEMI P37 and SEMI P40, specify the nonflatness of the mask frontside and backside, as well as the chucking surface, to be no more than 50 nm peak-to-valley (p-v). Understanding and characterizing the clamping ability of the electrostatic chuck and its effect on the mask flatness is a critical issue. In the present study, chucking experiments were performed using an electrostatic pin chuck and finite element (FE) models were developed to simulate the chucking. The frontside and backside surface flatness of several EUV substrates were measured using a Zygo large-area interferometer. Flatness data for the electrostatic chuck was also obtained and this data along with the substrate flatness data was used as the input for the FE modeling. Data from one substrate was selected for modeling and testing and is included in this paper. Electrostatic chucking experiments were conducted in a clean-room facility to minimize contamination due to particles. The substrate was chucked using an electrostatic pin chuck and the measured flatness was compared to the predictions obtained from the FE simulation.
Modeling methodologies and defect printability maps for buried defects in EUV mask blanks
A detailed analysis of FDTD simulations of EUV multilayers is performed for off-axis illumination angles. The reflections from the top half of the multilayer escape very easily, leading to fast ramp in the reflected field, however, convergence lulls can occur as the multitude of reflections within the bottom of the structure gather coherence before finally escaping and adding to the final reflection. FDTD simulations may need to be run 2-3X longer to ensure proper convergence when simulating EUV multilayers. Additionally, very small wavelength changes of 0.2% caused by numerical dispersion inside of FDTD can shift the Bragg reflection conditions in the multilayer to produce erroneous reflection results for angles >10°. Defect printability maps are generated with a ray tracing methodology for both 2D and 3D defects coated with both a standard and a smoothing deposition process. Defect volume is found to be critical in determining the printability of defects. Finally, FDTD and the ray tracing method are used to simulate defects located inside of the multilayer where a particle may fall on a partially coated multilayer during the deposition process. The ray tracing methodology was found to accurately predict defect printability when compared to FDTD results for defects residing below the 20th bilayer. The maximal printability impact for defects within the multilayer occurs when the defect is placed on the middle bilayer (20th) of the stack. Above this location, the defect impact is lessened since the multilayer is split into two sections and the bottom section is able to retain enough unperturbed multilayers to produce higher reflectivities.
EUV Optics
icon_mobile_dropdown
Visible light point-diffraction interferometer for testing of EUVL optics
Seiji Takeuchi, Osamu Kakuchi, Kenji Yamazoe, et al.
We have built a visible light point-diffraction interferometer with the purpose to characterize EUVL projection optics. The interferometer operates at the wavelength of 532 nm and utilizes two identical pinhole wavefront reference sources for generation of both signal and reference wavefronts. In the simple configuration of our interferometer, the main source of system error is the pinhole reference wavefronts. It is important that the reference wavefronts are calibrated and the calibration is stable. The calibration using our refractive test optic is reproducible to better than 0.1 nm RMS. The interferometer measured the wavefront of our refractive test optic with the repeatability of 0.1nm RMS. This paper will discuss the error sources and removal of the errors with experimental results.
EUV testing of multilayer mirrors: critical issues
S. B. Hill, I. Ermanoski, S. Grantham, et al.
Recently, while performing extensive EUV irradiation endurance testing on Ru-capped multilayer mirrors in the presence of elevated partial pressures of water and hydrocarbons, NIST has observed that the amount of EUV-induced damage actually decreases with increasing levels of water vapor above ~5x10-7 Torr. It is thought that the admitted water vapor may interact with otherwise stable, condensed carbonaceous species in an UHV vacuum system to increase the background levels of simple gaseous carbon-containing molecules. Some support for this hypothesis was demonstrated by observing the mitigating effect of very small levels of simple hydrocarbons with the intentional introduction of methyl alcohol in addition to the water vapor. It was found that the damage rate decreased by at least an order of magnitude when the partial pressure of methyl alcohol was just one percent of the water partial pressure. These observations indicate that the hydrocarbon components of the vacuum environment under actual testing conditions must be characterized and controlled to 10-11 Torr or better in order to quantify the damage caused by high levels of water vapor. The possible effects of exposure beam size and out-of-band radiation on mirror lifetime testing will also be discussed.
Model of Ru surface oxidation for the lifetime scaling of EUVL projection optics mirror
A chemical model of the surface oxidization of a ruthenium capping layer was constructed for the lifetime scaling of the mirrors of EUVL projection optics. It has two steps: the formation of active oxygen from adsorbed water by EUV irradiation, and the diffusion of active oxygen and its reaction with Ru at the interface between Ru oxide and Ru metal. The rate equations for these steps can be solved independently because the time scale is very different. This paper reports results for Step 1. They revealed the following: (1) The predicted dependence of the amount of oxidation on water pressure is linear over a very wide range. That means that water pressure is a good measure of the degree of acceleration. The reported non-linear dependence on water pressure cannot be explained without the influence of other factors, such as the amount of background hydrocarbons. (2) The rate equation showed the dependence on light intensity also to be linear. That is, a theoretical model based on a simple rate equation cannot predict the reported non-linear intensity dependence. So, we calculated the rise in surface temperature caused by irradiation over a long period from the rate equation for one-dimensional thermal conduction and the Lambert-Beer Law for surface photoabsorption. These calculations revealed that irradiation for a long period of time causes the surface temperature to rise, thus reducing the rate of formation of active oxygen. So, light intensity is a limiting factor in acceleration tests. (3) The rate of oxidation is significantly lower for pulse excitation than for quasi-continuous (synchrotron radiation) light when the EUV light source produces narrow pulses at a low repetition rate.
Effect of residual gas atmosphere on lifetime of Ru-capped EUVL projection optics mirror
Reflectance changes during the EUV irradiation were in-situ measured using two different experimental systems. One system consisted of slight high hydrocarbon (HC) content chamber and the other consisted of low HC content chamber. Distribution maps of the reflectance changes were quite different from each other. Especially, the reflectance change at the center of the EUV irradiation area was suppressed when the high HC content system was used. The surface analysis using XPS was performed. According to the analysis, it was found that two reflectance changes were arising from different reasons. It would seem that the origin of the different reasons were difference of the residual gas atmosphere.
Characterization of large off-axis EUV mirrors with high accuracy reflectometry at PTB
Christian Laubis, Christian Buchholz, Andreas Fischer, et al.
CZ SMT AG produced large off-axis EUV mirrors as they are used e.g. in ASML's alpha demo tools, the predecessor for Extreme Ultraviolet Lithography (EUVL) production tools by ASML. The coating development and a large part of the actual coatings were done by the FOM-Institute. The Physikalisch-Technische Bundesanstalt (PTB) operates an EUV reflectometry facility at the electron storage ring BESSY II for at-wavelength metrology of full-size EUVL optics with a weight of up to 50 kg and a diameter of 550 mm. Critical issues for EUVL mirrors are the high reflectivity close to the theoretical limit, the matching of the period to the operating wavelength of the stepper (13.5 nm) and the imaging properties of the EUV optics. The full multilayer stack needs to be controlled laterally to such extend that the initial sub-nanometre surface figure of the substrate is preserved. The so-called added figure error should not exceed 100 pm in order to ensure faultless imaging at 13.5 nm wavelength. Here, we discuss representative results obtained at large off-axis EUV mirrors. We especially discuss the challenges of measurements at higher local angles of incidence according to the optical design and the accuracy needed in sample alignment for measurement of the coating profiles. PTB has shown excellent reproducibility for measurements of the near normal incidence reflectance of flat homogeneous mirrors over several years. For large off-axis EUV mirrors, measurements have to be done at angles significantly off normal, which dramatically increases the influence of angular alignment errors of the sample on the measured peak wavelength. Furthermore, according to the optical design, these optics have gradients of the coating thickness which require exact knowledge of the measurement position in the mirror coordinates. Extensive studies were done to estimate and validate the uncertainties connected to the sample alignment. Our results clearly show that it is possible to meet and verify the tight specifications for the lateral coating profiles of EUV multilayer mirrors. The non-correctable added figure error is significantly better than required and the overall reflectance of the coatings with a special protective capping layer is 65%.
Nano-Imprint Lithography I
icon_mobile_dropdown
Multi-level step and flash imprint lithography for direct patterning of dielectrics
The dual damascene process used to generate copper interconnects requires many difficult processing steps. Back End Of Line (BEOL) processing using Step and Flash Imprint Lithography (SFIL) on a directly patternable dielectric material can dramatically reduce the number of processing steps. By using multi-level SFIL rather than photolithography, two levels of interconnect structure (trench and corresponding via) can be patterned simultaneously. In addition, the imprinted material can be a imprintable dielectric precursor rather than a resist, further reducing the total number of steps in the dual damascene process. This paper presents progress towards integrating multi-level SFIL into a copper CMP process flow at ATDF, Inc. in Austin, Texas. Until now, work has focused on multi-level imprint process development. This report focuses on the development of new imprintable dielectric precursors for use with the dual damascene imprint process. SFIL compatible dielectric precursors were synthesized and characterized for integration into the ATDF copper CMP process flow. SFIL requires properties not found in currently available semiconductor dielectrics such as low viscosity and rapid photo-induced polymerization. Inorganic/organic hybrid materials derived from sol-gel chemistry and polyhedral oligomeric silsesquioxane (POSS) structures show promise for this application. The properties of three different dielectric layers are compared. The viability of each material as an interlayer dielectric is discussed and the results of multi-level patterning, metal fill, and polish are shown.
Photonic crystals from step and flash imprint lithography
J. Christopher Taylor, Tim Hostetler, Pavel Kornilovich, et al.
Photonic crystals are structures which exhibit a band gap in the electromagnetic spectrum as a result of dielectric periodicity. These structures present the potential to control electromagnetic waves in a similar manner to the way electrons are controlled by semiconductors. To obtain a photonic band gap in a specific region of the spectrum, there are two important characteristics of the photonic crystal that must be considered. The first is the length scale of the periodicity of the crystal, which governs the frequency range in which the band gap falls. The second is the dielectric contrast between the two media which comprise the crystal, which controls the size of the bang gap. Therefore, to construct a photonic crystal which could be used as an optical device, such as a waveguide or filter, the features should be on the order of optical wavelengths, or nanometers. The dielectric contrast through the visible region should also be large enough to open a band gap. Lithography techniques are ideally suited to pattern such structures. This work focused on the use of step and flash imprint lithography as an ideal patterning technology for two dimensional photonic crystals because of its capability for sub-50 nm patterning. Another attractive aspect of using step and flash imprint lithography is the potential to pattern a functional polymer as the crystal. The feasibility of printing structures needed for photonic crystals using imprint lithography was first demonstrated. Then, a strategy to raise the index of refraction of imprint compatible polymer formulations for large dielectric contrast using metal oxide nanoparticles was investigated. A maximum index of n = 1.65 was achieved, but at the high nanoparticle concentrations needed to reach this value, the formulations would not photocure. At low concentrations, imprints were obtained and uses for the resulting moderate index polymer composites as partial band gap photonic crystals were suggested.
Defect inspection for imprint lithography using a die to database electron beam verification system
L. Jeff Myron, Ecron Thompson, Ian McMackin, et al.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and Flash Imprint Lithography (S-FILTM) is a unique method for printing sub-100nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Further, S-FIL provides sub-100nm feature resolution without the significant expense of multielement, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates. With respect to inspection, although defects as small as 70nm have been detected using optical techniques, it is clear that it will be necessary to take advantage of the resolution capabilities of electron beam inspection techniques. This paper reports the first systematic study of die-to-database electron beam inspection of patterns that were imprinted using an Imprio 250 system. The die-to-database inspection of the wafers was performed on an NGR2100 inspection system. Ultimately, the most desirable solution is to directly inspect the fused silica template. This paper also reports the results on the first initial experiments of direct inspection fused silica substrates at data rates of 200 MHz. Three different experiments were performed. In the first study, large (350-400nm) Metal 1 and contact features were imprinted and inspected as described above. Using a 12 nm pixel address grid, 24 nm defects were readily detected. The second experiment examined imprinted Metal 1 and Logic patterns with dimensions as small as 70nm. Using a pixel address of 3nm, and a defect threshold of 20 nm, a systematic study of the patterned arrays identified problem areas in the design of the pattern layout. Finally, initial inspection of 200mm fused silica patterned substrates has established proof of concept for direct inspection of imprint templates.
Characterizing nanoimprint pattern cross-section and fidelity from x-ray reflectivity
To address several of the challenges associated with nanoimprint lithography, new measurement techniques that can correlate the physical structure of an imprinted nanostructure with the materials used and the imprinting conditions are critical for optimizing imprint processes. Specular X-ray reflectivity (SXR) is a widely used technique to quantify the thickness, density, and roughness of the non-patterned films. Here we extend the applicability of SXR to imprinted nanostructures by characterizing the pattern height, the line-to-space ratio as a function of pattern height, the residual layer thickness, and the fidelity of pattern transfer.
EUV Source I
icon_mobile_dropdown
Development status of EUV sources for use in Beta-tools and high-volume chip manufacturing tools
U. Stamm, J. Kleinschmidt, Denis Bolshukhin, et al.
In the paper we give an update about the development status of gas discharge produced plasma (GDPP) EUV sources at XTREME technologies. Already in 2003 first commercial prototypes of xenon GDPP sources of the type XTS 13-35 based on the Z-pinch with 35 W power in 2π sr have been delivered and integrated into micro-exposure tools from Exitech, UK. The micro-exposure tools with these sources have been installed in industry in 2004. The first tool has made more than 100 million pulses without visible degradation of the source collector optics. For the next generation of full-field exposure tools (we call it Beta-tools) we develop GDPP sources with power of > 10 W in intermediate focus. Also these sources use xenon as fuel which has the advantage of not introducing additional contaminations. Here we describe basic performance of these sources as well as aspects of collector integration and debris mitigation and optics lifetime. To achieve source performance data required for high volume chip manufacturing we consider tin as fuel for the source because of its higher conversion efficiency compared to xenon. While we had earlier reported an output power of 400 W in 2π sr from a tin source we could reach meanwhile 800 W in 2π sr from the source in burst operation. Provided a high power collector is available with a realistic collector module efficiency of between 9% and 15 % these data would support 70-120 W power in intermediate focus. However, we do not expect that the required duty cycle and the required electrode lifetimes can be met with this standing electrode design Z-pinch approach. To overcome lifetime and duty cycle limitations we have investigated GDPP sources with tin fuel and rotating disk electrodes. Currently we can generate more than 200 W in 2π sr with these sources at 4 kHz repetition rate. To achieve 180 W power in intermediate focus which is the recent requirement of some exposure tool manufacturers this type of source needs to operate at 21-28 kHz repetition rate which may be not possible by various reasons. In order to make operation at reasonable repetition rates with sufficient power possible we have investigated various new excitation concepts of the rotating disk electrode configurations. With one of the concepts pulse energies above 170 mJ in 2π sr could be demonstrated. This approach promises to support 180 W intermediate focus power at repetition rates in the range between 7 and 10 kHz. It will be developed to the next power level in the following phase of XTREME technologies' high volume manufacturing source development program.
Application of a high-brightness electrodeless Z-pinch EUV source for metrology, inspection, and resist development
Stephen F. Horne, Matthew M. Besen, Donald K. Smith, et al.
Traditional Z-Pinch discharge plasma sources designed for EUV applications use electrodes to conduct the high current pulse into the plasma. The contact of these electrodes with the high temperature plasma required for EUV production can cause issues of electrode erosion, electrode spitting and even melting. These can be sources of contaminating debris for any optical system connected to the source. We will present a novel approach to the Z-pinch discharge plasma where the current pulse is induced into the discharge, rather than conducted. The inductive coupling creates magnetic fields which position the resulting electrodeless z-pinch plasma away from the source walls, thereby allowing relatively straightforward approaches to source cooling. We will show results from a commercially available electrodeless z-pinch EUV source that delivers 10 Watts at 13.5nm (±1% bandwidth) into 2π steradians, using xenon as the EUV emitting gas. Source size measurements and the ability to tailor the size to specific applications will be presented. In particular we will report on the optimization of the source for high brightness, for applications such as EUV metrology, microscopy and defect inspection of EUV masks and mask blanks, and the optimization of the source for power output for applications such as resist exposure and resist out-gassing studies. In imaging applications, the illumination optics are often able to reject light except from the immediate region of the pinch. This characteristic has consequences for out-of-band light measurements. We will present out-of-band measurements of light from a highly collimated view of the pinch.
EUV sources for the alpha-tools
Joseph Pankert, Rolf Apetz, Klaus Bergmann, et al.
In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.
LPP EUV source development for HVM
This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, intermediate-focus (IF) metrology, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. Results from several full-scale prototype systems are discussed. In addition, a multitude of smaller lab-scale experimental systems have also been constructed and tested. This paper reviews the latest experimental results obtained on these systems with a focus on the topics most critical for an HVM source. Laser produced plasma systems have been researched as probable light source candidates for an EUV scanner for optical imaging of circuit features at 32nm and beyond nodes on the ITRS roadmap. LPP systems have inherent advantages over alternative source types, such as Discharge Produced Plasma (DPP), with respect to power scalability, etendue, collector efficiency, and component lifetime. The capability to scale LPP power with repetition rate and modular design is shown. A path to meet requirements for production scanners planned well into the next decade is presented. This paper includes current testing results using a 320mm diameter near-normal-incidence elliptical collector, the first to be tested in a full-scale LPP system. With the collector in-situ, intermediate focus (IF) metrology capability is enabled, and data is presented that describes the quality of light at IF.
Development of CO2 laser produced Xe plasma EUV light source for microlithography
Hakaru Mizoguchi, Akira Endo, Tatsuya Ariga, et al.
A CO2 laser driven Xe droplet plasma is presented as a light source for EUV lithography. A short-pulse TEA CO2 master oscillator power amplifier system and a pre-pulse Nd:YAG laser were used for initial experiment with 0.6% of CE from a Xe jet. A target technology is developed for high average power experiments based on a Xe droplet at 100kHz. Magnetic field ion mitigation is shown to work well in the pre-pulsed plasma combined with a CO2 laser main pulse. This result is very promising with respect to collector mirror lifetime extension by magnetic field mitigation. A master oscillator power amplifier (MOPA) CO2 laser system is under development with a few kW and 100 kHz repetition rate with less than 15ns laser pulse width using a waveguide Q-switched CO2 laser oscillator and RF-excited fast axial flow CO2 laser amplifiers.
Design and optimization of collectors for extreme ultraviolet lithography
Fabio E. Zocchi, Enrico Buratti, Valentino Rigato
The design and optimization of nested grazing incidence collectors for extreme ultra-violet lithography is discussed taking into account the boundary conditions set by optical, mechanical, thermal, and manufacturing requirements. The trend of the collection efficiency as a function of numerical the aperture at the intermediate focus, the source-optics distance and the focal length is presented. The effect of the thickness of the mirrors on the optical performance and stability under thermal load is also discussed as a specific example involving optical, thermal and manufacturing issues. As an introduction to the discussion of the design and optimization of the collector, a theoretical maximum limit for the collection efficiency is studied as a function of collected solid angle and system etendue.
EUV Systems II
icon_mobile_dropdown
Defect printability study using EUV lithography
Christian Holfeld, Karsten Bubke, Falk Lehmann, et al.
Defect-free masks are one of the top issues for enabling EUV lithography at the 32-nm node. Since a defect-free process cannot be expected, an understanding of the defect printability is required in order to derive critical defect sizes for the mask inspection and repair. Simulations of the aerial image are compared to the experimental printing in resist on the wafer. Strong differences between the simulations and the actual printing are observed. In particular the minimum printable defect size is much larger than expected which is explained in terms of resist resolution. The defect printability in the current configuration is limited by the resist process rather than the projection optics.
EUV lithography simulation for the 32nm node
Extreme Ultraviolet Lithography (EUVL) is one of the patterning technologies proposed for the next generation lithography (NGL) which makes pattern less than 50 nm critical dimension (CD). And EUVL uses a very short exposure wavelength of 13.4 nm. So it has many characteristic in common with optical lithography, but EUVL are different from the conventional mask applied to the projection optical lithography. Specially, industry experts generally agree that the biggest challenges and risks for the next generation of lithography systems involve the mask. In EUVL, a mask is produced by applying multilayers of molybdenum and silicon to a flat substrate. The circuit pattern is produced by applying a final EUV-absorbing metal layer and then etching away the metal to form the image of the circuit. Also, the light shining with 6 degrees oblique to mask can not get target CD easily because the shadow effect is influenced on pattern. Therefore we must understand this kind of effect before doing real process. We tried to change the structure of the mask in order to decrease this effect and to have enough process latitude for the 32 nm node. EUV mask is affected by the thickness and kind of absorber and buffer material. First, we changed the absorber material such as Cr, TaN and Ge etc. without changing the buffer material. Second, we changed the thickness of the absorber materials. We tried to minimize the shadow effect by adjusting the side wall angle of the absorber layer parallel to the oblique incidence. Additionally we considered different shapes and depth of the etched multilayer binary mask and the refilled multilayer binary mask such as the inclined side wall of the etched multilayer. In this paper, we will describe the optimized EUV mask structure for 32 nm node by studying not only the aerial image, but also the resist profile. Solid-EUV simulator of Sigma-C is used to calculate the aerial image, resist pattern profile, and the process latitude with the optimized process parameters.
Simulation analysis of printability of scratch and bump defects in EUV lithography
This study investigated what impact smoothing and non-smoothing deposition have on printability on a wafer for scratch and bump defects. For bump defects, smoothing deposition does not widen a defect and provides a comparatively large allowable size. In contrast, for scratch defects it widens a defect from the bottom to the top of the multilayer when mass is conserved in every monolayer. The expansion of a scratch defect markedly degrades the quality of a printed image on a wafer. For scratch defects, a detailed evaluation of the light intensity distributions on both the mask and wafer surfaces, and the diffracted-light distribution, was carried out to investigate how smoothing deposition degrades image quality. It was concluded that, for scratch defects, the energy loss at the pupil degrades the image quality on a wafer, since weak diffraction peaks produced by the defect spread through all diffraction orders. Besides the allowable size of bump, scratch, and pit defects was determined using the criterion of a maximum CD variation of 10%.
EUV imaging with a 13nm tabletop laser reaches sub-38 nm spatial resolution
We have acquired images with sub-38 nm spatial resolution using a tabletop extreme ultraviolet (EUV) imaging system operating at a wavelength of 13.2 nm, which is within the bandwidth of Mo/Si lithography mirrors This zone plate-based, full-field microscope has the power to render images in only several seconds with up to a 10,000 μm2 field of view. The ability to acquire such high-resolution images using a compact EUV plasma laser source opens many possibilities for nanotechnology, including in-house actinic inspection of EUV lithography mask blanks.
Investigation of the current resolution limits of advanced extreme ultraviolet (EUV) resists
Patrick P. Naulleau, Clemens Rammeloo, Jason P. Cain, et al.
The past two years has brought tremendous improvements in the crucial area of resists for extreme ultraviolet (EUV) lithography. Nested and isolated line resolutions approaching 30 nm and 25 nm, respectively, have been demonstrated. These advances have been enabled, in large part, by the high-numerical (0.3) EUV imaging capabilities provided by the Berkeley microfield exposure tool (MET). Here we investigate the resolution limits in several advanced EUV resists using the Berkeley MET. Comparisons to aerial-image performance and the use of resolution-enhancing illumination conditions are used to establish the fact that the observed pattern resolution in the best chemically-amplified resists available today are indeed resist limited. Moreover, contrast transfer function (CTF) techniques are used to directly compare various advanced resists. Strong correlation is observed between relative CTF performance and observed resolution limits.
Maskless
icon_mobile_dropdown
Technology mapping technique for throughput enhancement of character projection equipment
Makoto Sugihara, Taiga Takata, Kenta Nakamura, et al.
The character projection is utilized for maskless lithography and is a potential for the future photomask manufacture. The drawback of the character projection is its low throughput and leads to a price rise of ICs. This paper discusses a technology mapping technique for enhancing the throughput of the character projection. The number of EB shots to draw an entire chip determines the fabrication time for the chip. Reduction of the number of EB shots, therefore, increases the throughput of character projection equipment and reduces the cost to produce ICs. Our technology mapping technique aims to reduce the number of EB shots to draw an entire chip for increasing the throughput of character projection equipment. Our technique treats the number of EB shots as an objective to minimize. Comparing with an conventional technology mapping, our technology mapping technique achieved 19.6% reduction of the number of EB shots without any performance degradation of ICs. Moreover, our technology mapping technique achieved 48.8% reduction of the number of EB shots under no performance constraints. Our technique is easy for both IC designers and equipment developers to adopt because it is a software approach with no additional modification on character projection equipment.
High-sensitivity interferometric schemes for ML2 micromirror calibrations
This paper presents an interferometric method with high sensitivity and good linearity for calibration of micromirror arrays used in maskless lithography. An analytic model based on electric-field perturbation is developed to quantify the influences of mirror configuration and defocus on calibration sensitivity. With the analytic model, two optimization strategies to achieve the highest sensitivity are developed. For a 5-by-5 sub-array with a pixel size of 0.5λ/NA, the sensitivity is improved from 0.0078 I/° when the surrounding pixels are not actuated, to 0.02286 I/° and 0.0347 I/° when the pixels are arranged in optimized schemes at defocus of 0.0RU and 1.5RU, respectively. The typical improvement is about 3X to 4X when the optimized calibration schemes are used.
Nano-Imprint Lithography II
icon_mobile_dropdown
Multi-scale modeling of nano-imprint lithography
A suite of modelling tools is being created for the European Integrated Project "Emerging Nano-Patterning Methods". The idea of an optimal processing window for nano-imprints is presented, together with its limiting factors. Stemming from these factors, the need for a fully integrated multi-scale modelling is identified, where the overlap is on three levels: at the wafer scale for pressure and residual layer thickness distribution, at the cavity scale for fluid dynamics, and at the sub-nanometre scale for fluid-stamp interactions. The residual layer thickness is a critical parameter for embossing large areas, and accurate modelling at various scales is needed, in order to predict simultaneously cavity filling and thickness homogeneity at the wafer level. To do so, a finite element model is first introduced, where ANSYS is used to solve for the fluid flow in channels of infinite length. To check that the model accurately captures all the salient features of imprinting, two studies are carried-out. Firstly, it is shown that the model reproduces well the profile of the fluid front in a cavity for a range of viscosities. Secondly, the model is successfully compared to the experimental results of load-instrumented single cavity nano-imprint. Finally, a larger model is built by assembling the elementary channel feature defined above, and the velocity profiles in adjacent channels are analysed.
Increasing effective resolution through surface conditioners for 1x imprint templates and photo mask applications beyond 65nm
Kosta S. Selinidis, John G. Maltabes, Madhukar B. Rao, et al.
The improvement in effective resolution of photo masks and templates is demonstrated by reducing pattern collapse through the use of surface conditioners. The masks were coated with a chemically amplified negative e-beam resist, FEN270, and exposed on a 50keV e-beam system. The factors investigated in this experiment included two surface conditioner (SC) formulations, SC concentration, exposure dose, post bake temperature, and resist thickness. A test pattern was designed to pinpoint the onset of resist collapse. Line sizes from 40nm to 130nm were tested with different line spacing to exert varying amounts of capillary forces on the resist walls. Surface conditioners were manually dispensed prior to the final spin dry step. The results were compared to masks processed in the same manner but with DI water as a control. OptiPattern 50% concentration surface conditioner showed the most significant resolution improvement with approximately 23nm increase from the baseline. Some adverse swelling effects were observed with some formulations.
NIL template manufacturing using a variable shaped e-beam writer and a new pCAR
A resolution of 45nm dense lines has been be realized in a 100nm thick commercial available positive tone chemically amplified resist (pCAR) using the Leica SB350 variable shaped beam writer. On the basis of this resist process and by optimization of photomask blank material as well as by adaptation of chrome and quartz etching processes, a nanoimprint template technology has been developed which enables patterning of 50nm dense lines. The sensitivity of the selected pCAR as well as the performance of the implemented dynamical stage control of the Leica pattern generator, facilitates an acceptable throughput even for complex pattern. We characterized the templates in terms of feature profile, CD linearity and pattern fidelity. The final imprinting of different pattern proved the applicability of the manufactured stamps for the nanoimprint technology.
The role of stress in nanoimprint lithography
Hyun Wook Ro, Yifu Ding, Hae-Jeong Lee, et al.
The thermal embossing form of nanoimprint lithography is used to pattern arrays of nanostructures into several different polymer films. The shape of the imprinted patterns is characterized with nm precision using both X-ray scattering and reflectivity techniques. By studying the time dependent response of the pattern shape at temperatures near the glass transition temperature, we are able to perceive large levels of residual stress induced by the imprinting process. The large shear fields that result as the viscous polymer flows into the mold leads to residual stresses. At elevated temperatures in the freestanding structures (once the mold has been separated from the imprint), there is an accelerated reduction in pattern height in the reverse direction from which the material originally flowed into the mold. Two factors that influence this residual stress include the molecular mass of the polymer resist and the amount of time the pattern is annealed at high temperature in the presence of the mold.
Vapor deposited release layers for nanoimprint lithography
Tong Zhang, Boris Kobrin, Mike Wanebo, et al.
This paper presents the advantages of using a vapor deposited self-assembled monolayer (SAM) as a mold release layer for nano-imprint lithography. The release SAM was formed from a perfluorinated organo-silane precursor at room temperature in the gaseous state by a technique called Molecular Vapor Deposition (MVDTM). In contrast to a conventional coating from a liquid immersion sequence, the vapor deposition process forms a particulate free film resulting in a substantial reduction of surface defects. Another advantage of the vapor process is its excellent conformity onto the nanoscale topography of the mold. The self-assembling and self-limiting characteristics of the MVD process enables excellent CD control of the mold pattern. Pattern replication as small as 38nm features was achieved. Various other quantitative metrics of the MVD release layer are presented in this paper.
Advanced Mask II
icon_mobile_dropdown
Phase defect observation using an EUV microscope
Kazuhiro Hamamoto, Yuzuru Tanaka, Takahiro Yoshizumi, et al.
We constructed the EUV microscope (EUVM) for actinic mask inspection which consists of Schwarzschild optics (NA0.3, 30X) and X-ray zooming tube. Using this system, EUVL finished mask and Mo/Si glass substrates are inspected. EUVM image of 250 nm width pattern on 6025 Grass mask was clealy observed. Resolution can be estimated to be 50 nm or less from this pattern. The programmed phase defect on the glass substrate is also used for inspection. By using EUV microscope, programmed phase defect with a width of 90 nm, 100 nm, 110 nm, a bump of 5 nm and a length of 400 μm can be observed finely. And the programmed phase defect of 100 nm-wide and 2 nm pit was also observed. Moreover, a programmed defect with a width of 500 nm is observed as two lines. This is because phase change produced with the edge of both sides of a programmed defect. Thus, in this research, observation of a program phase defect was advanced using the EUV microscope, and it succeeded in observation of the topological defect image inside a multilayer film. These results show that it is possible to catch internal reflectance distribution of multilayer under the EUV microscope, without being dependent on surface figure.
Novel low thermal expansion material for EUV application
Mitsuhiro Kawata, Akira Takada, Hideaki Hayashi, et al.
In extreme ultraviolet (EUV) lithography technology, ultra low thermal expansion material is required as photomask substrate. We have previously developed Ti-doped silica glass which exhibits both ultra low coefficient of thermal expansion (CTE) and high homogeneity for EUV substrate. On the other hand, we have been investigating other candidate materials which have low CTE, from the viewpoint of structural chemistry. Silica glass is well-known as a low thermal expansion material and the reason is explained that in the open structure of silica glass two factors, expansion and shrinkage, compete with each other with increase in temperature. The network of silica glass consists of tetrahedra like quartz crystal. In this structure, Si is stably present with a valence of 4 and a coordination number of 4. We have carried out an atomistic simulation and estimated the volume change of oxide materials which may have the same structural transformation mechanism as SiO2. As a result, the volume of SnO2 with quartz structure (quartz-SnO2), in which Sn was present with a valance of 4 and a coordination number of 4, decreased with increase in temperature, that is, the density of quartz-SnO2 increased. Thus, it was indicated that the glass with lower CTE than that of silica glass could be obtained with substituting Sn for Si. Based on this hypothesis, we have prepared Sn-doped silica glass by Asahi silica glass producing method. The synthesized Sn-doped silica glass exhibited lower CTE than that of an ordinary silica glass.
Plasma-assisted cleaning by electrostatics (PACE)
W. M. Lytle, M. J. Neumann, D. N. Ruzic
As feature sizes shrink, particle contamination on EUV masks used in the fabrication process of semiconductor chips is an increasingly difficult problem that leads to lower wafer throughput and higher costs of chip production. Current practices to remove particulates off of masks include using a sulfuric acid bath, ultrasonic cleansing, and rinsing in de-ionized water. However, nanometer-scale etching occurs through this cleaning process in addition to the presence of residual contamination due to the chemicals used, which leads to feature devolution. Currently, pellicles are used to protect the reticle with the pellicle being transparent to 193 nm light; however with current EUV technology being developed for 13.5 nm light, the pellicle is no longer transparent at this wavelength and thus cannot be used. Other mask-cleaning processes such as laser-induce plasma cleaning (LIP) run the risk of substrate damage due to potentially destructive methods. Plasma-assisted electrostatic cleaning involves using a potential drop in a plasma sheath electric field and charge imbalance between the particle and the substrate to propel the nanoparticles off the surface. Through applying a positive bias to the substrate and using a weak local plasma to charge the particles, the contamination is removed from the surface. As the particle size decreases the amount of time to charge the particle is longer thus leading to a longer removal period. However, as long as the particle is in the plasma sheath region, there is no theoretical limit on the size of the particle removed.
Defect inspection of EUV mask blank using confocal microscopy: simulation and experiment
A theoretical model of confocal microscopy of phase defect in EUV mask blank is developed using Fourier optics and rigorous coupled-wave analysis(RCWA). This model is verified by comparison with experimental data and then applied to calculating confocal microscopy signal of phase defect with conformal and flat surface for wavelength of 488 nm and 266 nm, respectively. From this simulation, it is shown that phase defect with flat surface is undetectable even by 266 nm-wavelength confocal microscope, while it is printable at 13.5 nm-wavelength. Subsequent simulation of energy flow rate through Mo/Si multilayer shows that this is because incident optical wave is absorbed within 4 pairs of Mo/Si multilayer, but 40 pair of Mo/Si multilayer is semi-transparent at 13.5 nm-wavelength. Based on this result, a deposition scheme of Mo/Si multilayer is suggested.
Evaluation of FIB and e-beam repairs for implementation on step and flash imprint lithography templates
In order for Step and Flash Imprint Lithography S-FIL or any other imprint lithography to become truly viable for manufacturing, certain elements of the infrastructure must be present. In particular, these elements include; fast and precise Electron Beam (E-beam) pattern writing, ability to inspect, and a methodology to repair. The focus of this paper will be to investigate repair of clear and opaque defects on S-FIL templates using Focused Ion Beam (FIB) and Electron beam technologies. During this study, FEI's Accura XT FIB mask repair system was used to selectively mill opaque line edge defects as small as 45 nm in the Cr-based and 30 nm in the quartz-based patterns. Repairs to the Cr pattern achieved a placement offset of 8.8 nm with a one sigma value of 11.4 nm. Additionally, a series of trench cuts were made perpendicular through line segments to determine the minimum cut resolution. In an effort to repair clear defects within chrome patterns, studies were performed to deposit carbon or a proprietary metallization using either FEI's FIB platform or E-beam mask repair research tool. This paper will discuss the repair strategy used and include characterization of repairs through Scanning Electronic Microscopy (SEM) and Atomic Force Microscopy (AFM) imaging. Furthermore, repair efficiency was determined by assessing the ability of the repair to hold up through the remainder of the template fabrication process and ultimately pattern transfer of imprinted features.
Building 1x NIL templates: challenges and requirements
Tony DiBiase, John Maltabes, Bryan Reese, et al.
Recent interest and inclusion to the ITRS roadmap for the investigation of NIL (Nano Imprint Lithography) has brought back to life 1X mask making. Not only does NIL require 1X pattering, it also requires physical contact with the patterning media, which, for obvious reasons, raises defectivity concerns. NIL is capable of reproducing features in the 50-10nm range, and possibly below, creating extensive manufacturing challenges for NIL tooling. KLA-Tencor has partnered with Molecular Imprints Inc. of Austin, Texas to study the eventual implementation and commercialization of NIL, especially as it pertains to the IC segment of the market. Photronics Labs Inc. is also involved in the NIL effort by developing and understanding the issues required for successfully producing commercially available tooling for this new lithography technique. Much of this work supported by NIST project #00-00-5853.
Electron-Projection Lithography
icon_mobile_dropdown
Resolution improvement of EPL stencil mask using thin membrane
Hiroshi Sugimura, Hideyuki Eguchi, Masashi Norimoto, et al.
Electron Projection Lithography (EPL) provides a fundamental advantage in resolution. In this paper, resolution improvement of EPL masks and minimum resolution in EPL exposure are addressed. In order to improve the mask resolution, we applied membranes thinner than typical thickness of 2 um to e-beam scattering layers of the EPL stencil masks. Although strength of the membrane generally deteriorates with decrease in the membrane thickness, the EPL masks having 1-um-thick scattering layers were feasibly fabricated. Reduction of the membrane thickness down to 1 um considerably improved the mask minimum feature size to resolve 120-nm holes and 80-nm lines which corresponded to 30 nm and 20 nm on wafer dimension, respectively, in the 4x demagnification EPL exposure system. The application of the 1-um-thick membrane simultaneously brought the high resolution and good pattern qualities: CD uniformity less than 10 nm in 3σ with pattern sidewall angle range of 90° ± 0.2°. We performed wafer exposure experiments in combination of the EPL exposure tool NSR-EB1A (Nikon) and the 1-um-thick membrane mask, and obtained the resolution performance of 40-nm holes on the wafer. We conclude that the application of the 1-um-thick membrane to the e-beam CD qualities. The exposure resolution of 40-nm holes on the wafer reveals the EPL exposure system to be a potential solution for contact layers in the future technology node.
Advanced Image Placement Performance for the Current EPL Masks
We examined two EPL mask fabrication processes to control precisely image placement (IP) on the EPL masks. One is a wafer process using an electrostatic chuck during an e-beam write and another is a membrane process using a mechanical chuck during the e-beam write. In the wafer process, the global IP is corrected during the e-beam write on the basis of the IP data taken with x-y metrology tool. In the membrane process, the global IP is corrected during the e-beam write on the basis of the data taken with the x-y metrology tool and taken in situ with the e-beam writer. The resist and final global IP (3s) of the wafer process is 7.2 nm and 10.6 nm. For the average local IP errors (3s), the local IP of 5.7 nm at the resist step increases to 14.7 nm at the final step due to process-induced distortions. The local IP could be reduced to 6.0 nm by applying the constant scale value to the mask process. In the membrane process, the resist and final global IP (3s) is 15.3 nm and 17.1 nm. With more detectable alignment marks, it would be possible to improve the global IP. For the average local IP errors (3s) of the membrane process, the average resist and final local IP are 6.7 and 7.1 nm which shows no PID. The two approaches proved to control IP more accurately than the conventional one.
Assessment of electron projection lithography mask membrane image placement accuracy due to fabrication processes
Electron Projection Lithography (EPL) has been identified as a viable candidate of the next-generation lithography technologies for the sub-65-nm nodes. The development of a low-distortion mask is essential for meeting the stringent requirements at these lower nodes. This research focused on predicting the influence of mask fabrication and pattern transfer on the image placement (IP) accuracy of a 200-mm EPL mask. In order to quantify the in-plane distortions of the freestanding membranes, three-dimensional finite element (FE) models (full mask and submodels) have been developed. A typical process flow including thin-film deposition, pattern transfer, and tool chucking was simulated with the FE models. Full mask models were used to characterize the global response of the mask, whereas submodels of the individual membranes provided details of the localized distortions on a subfield-by-subfield basis. In addition, local (subfield) correction schemes were replicated in the FE simulations. A parametric study was conducted to identify critical variables in the mask fabrication process. Pattern transfer was modeled using appropriate equivalent modeling techniques. IP errors of membranes with patterned areas of 4 mm × 4 mm and 1 mm × 1 mm were compared in the current study, illustrating the advantages / disadvantages of the two formats. The numerical models developed here have been used to investigate the proposed EPL mask formats, as well as the materials, fabrication processes, and general system parameters required to achieve the necessary pattern placement accuracy.
Novel Lithography
icon_mobile_dropdown
Micro/nano lithography realized by chemical printing
In this paper, we present and demonstrate a novel, versatile lithography method with high resolution that we call Chemical Lithography (ChemLith). The concept is based on the fact that most of the commonly used photoresists change their solubility upon an acid-catalyzed chemical reaction. In photolithography, Photo Acid Generator (PAG) is mixed in the resist formula, and the acid is generated by photon-initiated reactions. Using photons sets the fundamental limitation of the feature size for photolithography. We therefore propose to physically introduce the catalyzing acid (proton source) to the desired position on the resist surface, using a template in a manner similar to nano-imprint lithography. As a result, this method eliminates the wavelength limitation as well as the thermal, mechanical and material problems commonly associated with nano-imprint lithography.
EUV Source II
icon_mobile_dropdown
EUV generation using a droplet of a suspension including tin as a target of a high-efficiency LPP source for high volume production
Toshihisa Tomie, - Sarjono, H. Yashiro, et al.
Technologies for materializing our cotton-cake like tin target scheme are being developed. With the scheme, we expect to achieve 4% conversion efficiency into 2π sr supported by our experimental data. In order to implement EUVL, EUV power exceeding 100 W is considered to be required to be sent to an illumination box. Large collection solid angle and high conversion efficiency are the mandatory requirements for a source for EUVL. A route to the goal is not yet clear. With our scheme, we can expect EUV exceeding 100 W at the entrance of an illuminator is obtained with a 15 kW YAG laser. Difficulties we encountered when we tried plasma production by shooting droplets of a SnO2 suspension were preparation of a long life SnO2 suspension and stable droplet generation with the suspension. In these few years our technologies are highly improved, and we are now able to supply the suspension for several hours without stop, and concentration of a suspension is now increased to as high as 40 wt %. EUV intensity dependence on concentration was studied by shooting a jet of a suspension. We found the EUV intensity saturated at around several at %, which corresponds to several tens wt%, and the EUV intensity comparable to that from a Sn plate was observed. By introducing active synchronization of laser pulses with droplets, we can now shoot droplets running at 10 kHz with a 10 Hz YAG laser with no miss shot. We are now ready to challenge formation of cotton-cake like tin target to demonstrate a very high CE.
Progress in LPP EUV source development at Osaka University
For EUV lithography the generation of clean and efficient light source and the high-power laser technology are key issues. Theoretical understanding with modeling and simulation of laser-produced EUV source based on detailed experimental database gives us the prediction of optimal plasma conditions and their suitable laser conditions for different target materials (tin, xenon and lithium). With keeping etendue limit the optimal plasma size is determined by an appropriate optical depth which can be controlled by the combination of laser wavelength and pulse width. The most promising candidate is tin (Sn) plasma heated by Nd:YAG laser with a pulse width of a few ns. Therefore the generation technology of clean Sn plasma is a current important subject to be resolved for practical use. For this purpose we have examined the feasibility of laser-driven rocket-like injection of extremely mass-limited Sn or SnO2 (punched-out target) with a speed exceeding 100m/s. Such a mass-limited low-density target is most preferable for substantial reduction of ion energy compared with usual bulk target. For high average power EUV generation we are developing a laser system which is CW laser diode pumped Nd:YAG ceramic laser (master oscillator and power amplifier system) operating at 5-10 kHz repetition rate. The design of practical laser for EUV source is being carried out based on the recent performance of >1 kW output power.
Compact source and beam delivery system for EUV radiation
Klaus Mann, Frank Barkusky, Armin Bayer, et al.
We report on design, testing and first applications of an integrated EUV source and beam delivery system, composed of a miniaturized laser-produced plasma source with high pulse energy (~ 3.5 mJ @ 13.5 nm) and a modified EUV Schwarzschild objective with a numerical aperture of 0.44 and a demagnification of 10x. The objective consists of two spherical ULE substrates coated with Mo/Si multilayers (reflectivity ~ 65 % @ 13.5 nm). After adaptation to the table-top EUV source, a focus with a diameter < 30 μm at energy densities of up to 100 mJ/cm2 in a single pulse could be produced by demagnified imaging of the laser plasma. For reduction of aberrations the optical system was fine-adjusted with the help of a Hartmann-Shack wavefront sensor in the visible spectral range. The EUV imaging properties were determined and compared to ray-tracing calculations. The setup is currently being used for comparative investigations of the interaction of EUV radiation with different materials, as e.g. the color center formation in LiF crystals, or photo- ablation studies in polymers, in particular PMMA.
Poster Session Advanced Mask
icon_mobile_dropdown
Characterization of CCD sensor for actinic mask blank inspection
Back-illuminated charge-coupled devices (BI-CCD) have been characterized for the application of actinic EUVL mask blank inspection. Point spread function (PSF) of a BI-CCD is analyzed by extracting the EUV-emulating events from photon counting images of 55Fe exposure experiments. The spread of the PSF is found to be reduced by applying higher voltage to extend the depletion layer, which is consistent with the physical model of the electron diffusion in the backside region. High speed capability of the most promising BI-CCD is also tested to investigate its applicability to production-worthy high throughput inspection tools. It has been demonstrated that a clear image can be obtained at 5.6MHz clock speed which translates into the throughput of 2 hours per blank using 26x imaging optics. The readout noise at this clock speed, however, has turned out to be extremely degraded than is required to keep the required sensitivity. Potential approaches to overcome sensitivity degradation caused by the increase in the readout noise are discussed.
Performance and quality analysis of Mo-Si multilayers deposited by ion beam sputtering and magnetron sputtering
Kenji Hiruma, Shinji Miyagaki, Hiromasa Yamanashi, et al.
Ion beam sputtering and magnetron sputtering were used to grow Mo-Si multilayer structures to investigate which is more suitable for the fabrication of mask blanks for extreme ultraviolet (EUV) lithography. For ion beam sputtering, the difference between using Ar and Xe as the sputtering gas was also examined. For ion beam sputtering, the peak EUV reflectivity of 40 Mo-Si bilayers was measured to be about 62% at wavelengths in the range of 12-15 nm; while for magnetron sputtering, the value was 65%. A transmission electron microscopy analysis of multilayers deposited by ion beam sputtering revealed an interface layer between the two materials: It had a thickness of 1.5 ± 0.2 nm when Mo was deposited on Si, and a thickness of 0.7 ± 0.2 nm when Si was deposited on Mo. These interface layers were 30-50% thicker than those formed during magnetron sputtering. The mechanism by which interface layers form is discussed based on an ion implantation model.
Phase-shift mask for EUV lithography
C. Constancias, M. Richard, D. Joyeux, et al.
EUV lithography is expected to be inserted for the 32 nm node and extended for the 22 nm and below. Phase shift masks (PSM) are evaluated as a possible option to push the resolution limit of the Extreme Ultra violet lithography. This paper will focus on designs and measurements of PSM implemented by etching into the Mo/Si multilayer (ML). The design and the technological developments to elaborate PSM by etching is described. Phase shift Sample (PSS) have been carried out to calibrate in "true operating conditions", i.e. through the measurement of the phase shift they produce on a reflected wavefront, at the wavelength (λ=13.5nm). The method of calibration have been investigated with a Fresnel bimirror interferometer installed on the PSI Swiss Light Source Synchrotron to measure directly the value of interest, i.e the optical phase.
Impact of multi-layer deposition method on defects for EUVL photomask blanks
This paper is a summary of the work to date done by the ASET consortia to look at the impact of deposition method on defects. The study includes scratch and bump type defects coated with EUVL quality multi-layers using Magnetron Sputtering, Ion Beam Sputtering, or Ion Beam Sputtering with a secondary ion etch. After the deposition TEM samples were taken of the individual defects and the impact of the deposition method on the multi-layers was examined.
Combined absorber stack for optimization of the EUVL mask
Seung Yoon Lee, Tae Geun Kim, Chung Yong Kim, et al.
Integration and optimization of the absorber stack has become a critical issue with the progress of the extreme ultraviolet lithography development because it influences many issues such as throughput, pattern fidelity, and mask yield. Simulation works to optimize an absorber stack were carried out and the results were empirically confirmed. TaN showed a great potential as an extreme ultraviolet absorber property but it did not meet the requirement for deep ultraviolet reflectivity for inspection. According to the simulation, Al2O3 was selected as an anti-reflection coating for DUV wavelength. Al2O3 ARC with optimum thickness reduces the DUV reflectivity from 42.5 to 4.4 % at 248 nm while maintaining the other properties. A novel absorber stack consisted of TaN absorber, Ru capping, and Al2O3 ARC is proposed, and the total thickness of the stack is only 47 nm and the EUV and DUV reflectivities are 0.97 % at 13.5 nm and 4.4 % at 248 nm, respectively.
High-precision (<1ppb/°C) optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materials
We have developed an optical-heterodyne-interferometric dilatometer tailored to meet EUVL requirements. It has the advantage of providing absolute coefficient of thermal expansion (CTE) measurements. The design of the dilatometer has been optimized to yield high-accuracy and reproducibility of measurements by means of consideration of uncertainty factors and their contributions. A prototype is constructed and we have evaluated it. To test the capabilities of the dilatometer, we measured the CTEs of various materials and CTEs ranging from parts per million per degree Celsius (ppm/°C) to parts per billion per degree Celsius (ppb/°C). All the measurements were successful, and we found that our dilatometer can handle a wide variety of materials, including EUVL low thermal expansion materials (LTEMs). Subsequently, a more detailed evaluation of the reproducibility of CTE measurements for titanium-doped silica glass was performed. The static reproducibility (σ) was 0.80 ppb/°C or better for a change of 1 ppb/°C in the target. The dynamic reproducibility, in other word resetability was ±0.85 ppb/°C or better. Regarding measurement accuracy, our data is comparing with those obtained with the AIST dilatometer. From the first results, the CTE difference between AIST and ASET was 1.7 ppb/°C. We continue to improve accuracy of measurement. As a test of capability of our dilatometer, we made a CTE characterization for material development. It showed typical CTE character of LTEMs. We feel confident that our dilatometer will be useful for the measurement of the CTEs of EUVL-grade LTEMs.
Actinic EUVL mask blank defect inspection by EUV photoelectron microscopy
Ulf Kleineberg, Jingquan Lin, Ulrich Neuhaeusler, et al.
A new method for the actinic at-wavelength inspection of defects inside and ontop of Extreme Ultraviolet Lithography (EUVL) multilayer-coated mask blanks is presented. The experimental technique is based on PhotoElectron Emission Microscopy (PEEM) supported by the generation of a standing wave field inside and above the multilayer mask blank when illuminated near the resonance Bragg wavelength at around 13.5 nm wavelength. Experimental results on programmed defect samples based on e-beam lithographic structures or PSL equivalent silica balls overcoated with an EUV multilayer show that buried defects scaling down to 50 nm in lateral size are detectable with further scalability down to 30 nm and smaller due to the PEEM's instrumental performance. Furthermore, phase structures as shallow as 6 nm in height on a programmed phase grating sample has been detected by this technique. The visibility of the phase defect structures has been shown to be strongly dependent on and controlled by the phase of the standing wave field at the mask blank surface and thus can be optimized by tuning the illumination wavelength between 12.5 nm and 13.8 nm.
Numerical modeling of absorber characteristics for EUVL
The characteristics of various potential absorbers, such as Cr, Ta, and TaN materials were quantitatively investigated by calculating the optical contrast and geometrical width variation of pattern image of 25-nm-width transferred through the exposure system. The intrinsic absorber performance was evaluated by the numerical modeling of the reflectivity on the mask and the aerial image intensity on the wafer. The reflectivity on the mask was calculated for various absorber thicknesses (40-70 nm) using Fresnel equation. For the calculation of the aerial image intensity of pattern features with various absorbers, SOLID-EUV, which is capable of rigorous electromagnetic field computation, was employed. It could be reasonably concluded that the TaN absorber model showed superior optical characteristics compared to other absorber systems, whereas the best performance on the geometrical characteristics was found in the Ta absorber system.
Characterization of striae in ULE for EUVL optics and masks
William Rosch, Lorrie Beall, John Maxon, et al.
Compositional striations in Corning's Ultra Low Expansion (ULE®) glass are thought to affect the surface roughness when the glass is polished. For EUV Lithography photomask blanks, it is important for the polished surface to be as smooth as possible. Therefore, since the compositional striations may impact photomask polishing, Corning has undertaken an effort to better characterize the striae and its impact on surface roughness, improve the fundamental understanding of its origin during boule formation, and develop methods and procedures to reduce its potential impact on polishing. This work has verified that striae can vary quite a bit throughout a single ULE glass boule. Characterization has shown that there are two main types of striae. These can be described as high frequency (secondary) striae and lower frequency (primary) striae. Due to the new understanding of the striae origin, two methods have recently been identified and used to greatly reduce or eliminate the high frequency striae component. Currently, new modeling efforts have helped identify potential process changes that may reduce the impact of the primary striae frequency. Experiments are in process to determine their effectiveness.
Striae evaluation of TiO2-SiO2 ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system
Super accurate evaluation method for TiO2-doped SiO2 ultra-low-expansion glass having periodic striae associated with its fabrication process was investigated using the line-focus-beam ultrasonic material characterization (LFB-UMC) system. To obtain absolute values of leaky surface acoustic wave (LSAW) velocities measured with the LFB-UMC system, proper standard specimens of the glass for system calibration was examined. Using a specimen with a surface perpendicular to the striae plane as the standard specimen, a reliable standard LSAW velocity of 3308.18 m/s within ±0.35 m/s for the calibration was obtained regardless of influence of velocity variations due to the periodic striae. Also, we determined the accurate relationship between the TiO2 concentrations and LSAW velocities (sensitivity: -0.0601 wt%/(m/s)) so that the TiO2 concentration of the standard specimen with a LSAW velocity of 3308.18 m/s was determined to be 7.09 wt%. Furthermore, to evaluate more reliably the more homogeneous ultra-low-expansion glasses in the near future, the measurement accuracy of the LSAW velocity was improved with a method using the LFB device with a larger curvature radius R operating at lower frequency from ±0.0053% for R=1.0 mm at 225 MHz to ±0.0020% for R=2.0 mm at 75 MHz.
Three-dimensional rigorous simulation of EUV defective masks using modal method by Fourier expansion
In Extreme Ultraviolet Lithography, the electromagnetic modelling of the mask allows determining the influence of the mask structure on the electromagnetic field. That makes it possible to take into account the presence of a defect modifying the multi-layer stack1,2. The method used throughout this paper is the MMFE (Modal Method by Fourier Expansion) also known as the RCWA (Rigorous Coupled Wave Analysis). Modal methods allow computing the electromagnetic field just above the EUV mask or the near field. Modal methods are well adapted for EUV mask simulation due to materials and structure size. The previous works performed on 2D simulation with MMFE3 have shown the influence of a defect inside a EUV mask structure. In this article, the method is extended to address 3D structures. The printability of a spherical shaped defect is analyzed depending on the deposition process used. The influence of a 3D defect position regarding the position of a line absorber is also shown.
Poster Session Electron-Projection Lithography
icon_mobile_dropdown
Data conversion system for character projection-type low-energy electron beam direct writing system
Ryoichi Inanami, Katsumi Kishimoto, Kazuhiro Nakai, et al.
The EBIS data conversion system has been developed to be optimized for layout data of logic devices with Character Projection (CP) method. In the system, standard cells and memory cells are registered into a character database as keeping the hierarchy of cell pattern in the device pattern, so that a common CP aperture can be created for several logic devices. The order of EB shots are optimized to shorten the time of writing the patterns, small shots (sliver shots) create as few as possible, and the total number of EB shots are minimized for a specified CP aperture. The system was evaluated by processing ASIC devices of hp 180 nm, 130 nm and 90 nm nodes. The average processing time is about 1 hour with the average number of EB shots of 50 × 106 per a chip. The reduction rates of the number of shots from only conventional Variably Shaped Beam (VSB) to with CP were estimated about 80%, 45%, and 80% for the layers of front-end-of-line (FEOL), metal and via layers of back-end-of-line (BEOL), respectively.
Tri-layer resists process for fabricating 45-nm L&S patterns by EPL
In this study, we have demonstrated a resist process to fabricate sub 45-nm lines and spaces (L&S) patterns (1:1) by using electron projection lithography (EPL) for a back-end-of-line (BEOL) process for 45-nm technology node. As a starting point we tried to fabricate sub 45-nm L&S (1:1) patterns using a conventional EPL single-layer resist process. There, the resolution of the EPL resist patterns turned out to be limited to 70 nm L&S (1:1) with aspect ratio (AR) of 3.3 which was caused by pattern collapse during the drying step in resist develop process. It has been common knowledge that pattern collapse of this type could be prevented by reducing the surface tension of the rinse-liquid and by decreasing the AR of the resist patterns. Therefore, we first applied a surfactant rinse to a single-layer resist process that could control the pattern collapse by its reduced surface tension. In this experiment, we used the ArF resist instead of the EPL resist because the surfactant that we were able to obtain was the one optimized to the ArF resist materials. From the results of ArF resist experiments, it was guessed that it was difficult for the EPL resist to obtain the L&S patterns with AR of 3.5 or more even if we used the surfactant optimized to the EPL resist. And we found that it was considerably difficult to form 45-nm L&S patterns with AR of 5.1 that was our target. Next, we evaluated a EPL tri-layer resist process to prevent pattern collapse by decreasing the AR of the resist patterns. Because in a tri-layer resist process the purpose of the top-layer resist is to transfer pattern to the middle-layer, a thinner top-layer resist was selected. By using the tri-layer resist process we were able to control the resist pattern collapse and thus were successful in achieving 40-nm L/S (1:1) top-layer resist patterns with AR of 2.3. The process also gave us 40-nm L&S (1:1) patterns after low-k film etching. And moreover, using our tri-layer resist process we were able to fabricate a wiring device with Cu/low-k. Although it was our first attempt, the process resulted in a high yield of 70 % for a 60-nm (1:1) wiring device. As a part of our study we conducted failure analysis of the results of our experiment. We found that the failures were located at the edge of the wafer and might originate in the bottom-layer pattern collapse. We thought that the wiring yield could be increased by control the bottom-layer pattern collapse. These findings indicated that our tri-layer resist process had a high applicability for device fabrication in BEOL.
New proximity effect correction for under 100nm patterns
Masahiro Shoji, Nobuyasu Horiuchi, Tomoyuki Chikanaga, et al.
As pattern size becomes very small, it has been getting difficult to correct an EB proximity effect accurately. We have developed a new proximity effect correction which corrects dose by simulating the pattern width. It can correct accurately a pattern of 100nm and below.
Model-based lithography verification system for multilayer structure in electron-beam direct writing
Kozo Ogino, Hiromi Hoshino, Yasuhide Machida
In the high-energy electron-beam lithography, proximity effects caused by the multilayer structure including heavy-metal materials are crucial for the resist patterning. The proximity effect depends not only on the pattern arrangement in the underlying layers but also on the critical dimension (CD) variation of patterned metal in the underlying layers and the chemical-mechanical polishing (CMP) non-uniformity among chips on a wafer. This paper proposes a novel lithography verification method based on the SEEF model, where the backscattering deposited energy intensity distribution to the resist is calculated by the mapping of transmitted electron energy flux and reflected electron energy flux in the multilayer structure. The verification method provides the capability of CD error prediction considered the proximity effect caused by the multilayer structure.
Poster Session Maskless Lithography
icon_mobile_dropdown
A character projection low energy electron beam direct writing system for device of small production lot with a variety of design
Fumihiko Nakamura, Katsuhide Watanabe, Hidetoshi Kinoshita, et al.
A character projection (CP)-type, low energy, electron beam direct writing (EBDW) system, for quick-turn-around-time and mask-less device fabrications of small production lots featuring a variety of designs has been developed. This system, named the EBIS (Electron Beam Integrated System), can satisfy a set of requirements for EBDWs, including higher throughput and mask-less exposure. A standardized CP aperture method that enables reduction in the number of EB shots without frequent aperture making has been applied as a means for attaining effective CP and mask-less fabrication. This breakthrough was able to be realized only by using low energy EB with the advantage of the free proximity effect. To resolve critical low energy EB issues, a compact EB column, equipped with monolithic deflectors and lenses for restricting beam blur caused by Coulomb interaction, was developed and put to use. Sufficient resolution, corresponding to 100 nm L/S patterns, was attained by using a thin-layered resist process. As the mark detection method, voltage contrast imaging using a micro channel plate was used. This method made it possible to detect buried marks when using low energy EB. The authors are currently verifying the basic performance of this EBIS. This paper outlines and discusses geometrical details and performance data of this system.
Reduced complexity compression algorithms for direct-write maskless lithography systems
Achieving the throughput of one wafer layer per minute with a direct-write maskless lithography system, using 22 nm pixels for 45 nm feature sizes, requires data rates of about 12 Tb/s. In our previous work, we developed a novel lossless compression technique specifically tailored to flattened, rasterized, layout data called Context-Copy-Combinatorial-Code (C4) which exceeds the compression efficiency of all other existing techniques including BZIP2, 2D-LZ, and LZ77, especially under limited decoder buffer size, as required for hardware implementation. In this paper, we present two variations of the C4 algorithm. The first variation, Block C4, lowers the encoding time of C4 by several orders of magnitude, concurrently with lowering the decoder complexity. The second variation which involves replacing hierarchical combinatorial coding part of C4 with Golomb run-length coding, significantly reduces the decoder power and area as compared to Block C4. We refer to this algorithm as Block Golomb Context Copy Code (Block GC3). We present the detailed functional block diagrams of Block C4 and Block GC3 decoders along with their hardware performance estimates as the first step of implementing the writer chip for maskless lithography.
Effects of low-voltage electron beam lithography
To examine the practical limits and effects of low voltage operation, studies of electron beam lithography (EBL) in the low (few keV) to ultra-low (E < 500eV) energy range, employing commonly used resists such as PMMA was done, and the results were compared to those from conventional high voltage processing. The direct writing was performed at low energies by our homemade scan generator and a Schottky field emission gun scanning electron microscope (SEM), used in cathode-lens mode for ultra-low voltage operation. The exposure characteristics and sensitivity of the system at these energies have been investigated using an advanced Monte Carlo simulation method. Our modeling of the lithographic process showed a significant increase in resolution and process latitude for thinner resists.
The effects of wafer-scan induced image blur on CD control, image slope, and process window in maskless lithography
In this paper we present analytical and simulation results on the wafer-scan induced image blur and its impact on CD control, image slope and line-edge roughness (LER), and process window in maskless lithography. It is shown that the effects of image blur do not impose serious constraints on lithographic performance in low throughput operation. However, when throughput is high, significant CD enlargement, lower image slope and higher LER, and process window degradation are observed consistently in both coherent imaging analysis and partially coherent lithographic simulations. The dependence of CDs on the wafer's scan speed and the distance between neighboring features will be an important issue of maskless OPC development. We also analyze the potential challenges of image blur to DUV and EUV maskless lithography and propose several solutions to overcome them.
Achieving mask-based imaging with optical maskless lithography
Elizabeth M. Stone, Jason D. Hintersteiner, Wenceslao A. Cebuhar, et al.
In Optical Maskless Lithography, the die pattern to be printed is generated by a contrast device, known as a Spatial Light Modulator. The contrast device consists of a multitude of micro-mirror pixels that are independently actuated. Different physical principles can be utilized to change the optical properties of the pixels. Rasterization in Optical Maskless Lithography is an algorithm that, given the description of a pattern to be printed (e.g. an OPC'd GDS-II or OASIS mask file), computes the necessary states of the contrast device pixels. A Global Optimization rasterization algorithm for Optical Maskless Lithography was recently developed and successfully tested. Utilizing optimization techniques, this algorithm enables contrast devices to match the imaging and placement performance of conventional masks thru focus and dose. The algorithm has been demonstrated for contrast devices based on various light modulation principles, including tilt, phase-step tilt, and piston mirror devices. This paper enhances the Global Optimization algorithm by significantly improving both computational time and memory requirements. These enhancements enable the algorithm to be implemented on an Optical Maskless Lithography scanner for printing die patterns of full size and complexity. The enhanced method is demonstrated on 130 nm node and 90 nm node SRAM layout test cases to validate the capability of Optical Maskless Lithography to reproduce realistic patterns. Simulations of the dose/focus process window in resist for rasterized patterns are presented, along with the ability of the rasterized images to match the CD and placement error performance of a conventional mask to below the level of process noise. In addition, the rasterization algorithm enhancements are verified experimentally on a calibrated tilt mirror spatial light modulator mounted to a 193 nm aerial image test stand.
Poster Session Nano-Imprint Lithography
icon_mobile_dropdown
Organic-inorganic hybrid materials for nanoimprint lithography
Junko Katayama, Shigeru Yamaki, Masahiro Mitsuyama, et al.
Nanoimprint lithography (NIL) technology has been focused on its wide variety of applications and good cost performance. It has also been indicated that the selection of materials depending on the application fields is important. In this research, we selected silicasol nanoparticles as inorganic materials and successfully dispersed them uniformly into photofunctional monomers with non-solvent systems. Dispersed silicasols were also treated with a photofunctional crosslinker and were mixed with other monomers to prepare various imprint materials. The UV-NIL performance, obtained by using an imprint test machine "LTNIP-5000" from Litho Tech Japan Co. showed greatly improved UV hardening properties and physical properties such as refractivity, thermal stability compared to organic (non-hybrid) materials. As a result, 200 nm line and space patterns were successfully imprinted with no shrinkage at pressure of 3.1 MPa and exposure doses of 1 J/cm2.
Planarization for Reverse-Tone Step and Flash Imprint Lithography
Understanding the dynamics of thin film planarization over topography is a key issue in the reverse-tone step and flash imprint lithography (SFIL-R) process. Complete planarization of a film over large, isolated topography poses an enormous challenge, since the driving force for planarization, the capillary pressure, continuously weakens as the film becomes more planar. For SFIL-R, only a specific degree of planarization (DOP) needs to be achieved before pattern transfer is possible. This paper presents the derivation of an inequality statement describing the required extent of planarization for successful pattern transfer. To observe how this critical DOP value (DOPcrit), and its corresponding leveling time (Tcrit) vary with materials and topographic properties, finite difference simulation was utilized to model planarization of a thin film over isolated topography after the spincoating process. This model was verified experimentally for various film thickness to substrate height ratios using interferometry to monitor silicon oil planarization over isolated trenches and lines. Material and topographic parameters were shown to not have a dramatic impact on DOPcrit; however, the critical leveling time increased considerably at DOPcrit values above 60 percent.
Study of nano-imprint for sub-100nm patterning by using SU-8 3000NIL resist
Atsushi Sekiguchi, Yoshiyuki Kono, Satoshi Mori, et al.
SU-8 (Kayaku Microchem Co., Ltd.) provides well-defined resist profiles with high aspect ratios, and is also suitable for use as a permanent resist. SU-8 has been widely used for many years in the MEMS (Micro Electro Mechanical System), IC package (bump, insulator, encapsulation), micro fluid (inkjet, micro reactor, biochips), and optical device (waveguide, optical switch) fields. SU-8 is a chemically amplified negative resist based on epoxy resin. This resist generates a strong acid during exposure, and PEB (Post Exposure Baking) induces the crosslinking reaction of the resin with the acid working as a catalyst to insolubilize the resist. In our study, we sought to investigate the potential application of SU-8 3000NIL, the most commonly used resist for the MEMS process, to imprint lithography. The results we obtained indicate that SU-8 3000NIL can indeed be applied to imprint lithography after optimizing process conditions for imprinting.
Thermal stress kinetics in the microresist–silicon system
S. Tamulevicius, V. Grigaliunas, D. Jucius, et al.
In this work we report stress kinetics of thermoplastic polymer mr-I 8020 on crystalline silicon during thermal exposure to the temperature ranges typically applied in the imprint experiment. Stress kinetics in the polymer-silicon structure was measured experimentally in-situ using a Michelson interferometer and controlling temperature of the structure by thermocouple. The changes of radius of curvature due to the thermal heating were measured by laser (λ=633nm) interferometer and corresponding stress was calculated using a Stoney formula. It is shown that within the temperatures below the polymer glassing temperatures elastic response of the polymer dominates for different time of heating and cooling.
UV-nanoimprint lithography using a diamond-like carbon stamp
Jun-ho Jeong, Ki-don Kim, Young-suk Sim, et al.
Two-dimensional (2-D) and three-dimensional (3-D) diamond-like carbon (DLC) stamps for ultraviolet nanoimprint lithography (UV-NIL) were fabricated with two methods: namely, two-photon polymerization (TPP) patterning, followed by nanoscale-thick DLC coating; and a fluorine-doped (F-DLC) coating process, followed by O2 plasma etching. The DLC layer on top of polymer pattern or flat quartz substrate was formed using radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process or Direct current (DC) and radio frequency (RF) magnetron sputtering process. It was also demonstrated that the DLC stamp with no anti-adhesion layer could be used for imprinting wafers on UV-NIL and the dimensions of the stamp's features correlated well with the corresponding imprinted features.
Imprint technology: A potential low-cost solution for sub-45nm device applications
Nano-imprint technology has demonstrated the potential for a low-cost, high-throughput Next Generation Lithography (NGL) method extendable to ultra-fine geometry requirements. Although the development of nano-imprinting lithography has been focused on semiconductor applications, the technology could provide a pathway for non-semiconductor-related applications as well. Examples of technologies that may benefit from this nano-imprint are high-density drives and other stand-alone memories, organic and flexible electronics, photonics, nanoelectronics, biotechnology, etc. With the rapid advances in these industries, the need for sub-nanometer features to drive performance and innovation, while maintaining cost, is to be expected. Step and Flash Imprint Lithography (S-FILTM) is one of several cost-effective imprinting technologies being pursued for sub-100 nm resolution. In demonstrating successful final pattern transfer of features less than 45 nm, S-FIL has sparked some interest as a viable alternative to other NGL methods. Unlike optical-based lithography, imprint utilizes the basic concept of contact printing, and therefore, does not require expensive optics and complex resist material to create images. Thus, the cost of ownership for nano-imprint lithography compared with other optical-based NLGs could provide solutions for many applications. Improvements made in S-FIL in the areas of material dispensing and refinement of the etch barrier (EB) have resulted in more uniform printing while producing a thinner residual layer. These improvements, coupled with changes to the etch processes have enabled pattern transfer with minimal critical dimension (CD) loss. This paper will describe both the new imprinting results and pattern transfer to demonstrate sub-45nm features. CD bias at each of the process steps will also be discussed. Examples of sub-45 nm (1:3) line/space features post imprint and final pattern transfer into oxide will be shown.
Nano-imprint of sub-100nm dots and lines feature on 8-inch wafer: influence of layout design
S. Landis, Tanguy Leveder, N. Chaix, et al.
Sub 100 nm resolution on 200 mm silicon stamp have been hot embossed into commercial Sumitomo NEB 22 resist. A single dot pattern, exposed with electron beam lithography, has been considered to define the stamp and make thus possible to point out the impact of stamp design onto the printing. Moreover, more complex shapes (triangular, elliptic, random...) with sub 200 nm resolution with and without uniform surrounding frame have been also designed. A large scale of initial resist thickness, from 56 nm to 506 nm, has been printed to assess the effect of polymer flow properties onto the stamp cavities filling and the printed defects. The impact of the pattern symmetry breakdown onto defect generation is clearly shown in this paper in the printed areas as well as in the unprinted areas.
Residual layer-free detachment-based nanolithography
Jae Kwan Kim, Jee Won Park, Hongjoo Yang, et al.
We present a simple method to generate nanostructures without residual layer using detachment-based nanolithography. Spin coated organic thin film and patterned stamp such as ultraviolet (UV) curable mold were prepared. The mold and organic thin film were contacted by slight pressure (1~2 bar). While conformal contact between mold and organic thin film, the sample was heated under the glass transient temperature. After cooling to room temperature, the mold was removed from substrate, rendering a pattern organic layer without residual layer. This method can form as small as 70 nm lines.
A simple lithographic method for fabricating micro/nano multiscale structures
Hoon Eui Jeong, Sung Hoon Lee, Pilnam Kim, et al.
A simple method for fabricating micro/nanoscale hierarchical structures is presented using a two-step temperature-directed capillary molding technique. This lithographic method involves a sequential application of molding process in which a uniform polymer-coated surface is molded with a patterned mold by means of capillary force above the glass transition temperature of the polymer. Various microstructures and nanostructures were fabricated with minimum resolution down to ~ 50 nm with good reproducibility. Also contact angle measurements of water indicated that two wetting states coexist on a multiscale hierarchical structure where heterogeneous wetting is dominant for microstructure and homogeneous wetting for nanostructure. A simple theoretical model combining these two wetting states was presented, which was in good agreement with the experimental data. Using this approach, multiscale hierarchical structures for biomimetic functional surfaces can be fabricated with precise control over geometrical parameters and the wettability of a solid surface can be tailored in a controllable manner.
Poster Session EUV Systems
icon_mobile_dropdown
Ultimate fine-pitch resist patterning using the ASET-HINA
H. Oizumi, Y. Tanaka, F. Kumasaka, et al.
This study had two goals. One was the evaluation of the ultimate fine-pitch patterning performance of the high-numerical-aperture (NA = 0.3) small-field EUV exposure tool (HINA). The other was the evaluation of the lithographic performance of conventional chemically-amplified (CA) polymeric resists and molecular resists using the HINA. Imaging experiments were carried out using coherent illumination (σ = 0.0). An EUV mask with a 60~80-nm-thick TaGeN absorber and a 10-nm-thick Cr buffer layer was fabricated to replicate dense sub-30-nm patterns. To determine the ultimate resolution of the HINA under three-ray interference in the sagittal direction, sub-30-nm-wide lines and spaces were delineated in a non-chemically-amplified resist. The smallest patterns delineated were dense 27-nm-wide lines, and the resolution obtained was nearly equal to the resolution limit of the HINA, which is the cut-off frequency of the optics in three-ray interference. A polymeric CA resist based on acetal-protected poly(hydroxystyrene) provided the best performance. It enabled the delineation of 28-nm-wide lines and spaces in a 70-nm-thick layer of resist at an exposure dose of 10 mJ/cm2. A CA positive-tone resist based on low-molecular-weight amorphous polyphenol was also tested. It consists of a partially protected polyphenol, namely, 4,4'-methylenebis[2-[di(2,5-dimehtyl-4-hydroxyphenyl) methyl]phenol (25X-MBSA-P). It enabled the delineation of 30-nm-wide lines and spaces in a 40-nm-thick layer at an exposure dose of 10 mJ/cm2. In addition, the sub-22-nm patterning of CA resists was performed under two-ray interference in the meridional direction.
Sub-32nm patterning using EUVL at ASET
Since device makers must use the lowest cost process for their survivals, they will want to use their old refractive litho-tools such as ArF and KrF. They will want to extend their refractive optical paradigm by using the immersion lithography. However, simulation results show that it is difficult or impossible to print sub-30nm patterns using immersion without resolution enhancing techniques, for example, double exposure. Therefore EUV is a promising candidate to prepare the next generation litho-technique. ASET is focusing all efforts on developing EUV mask and EUV resists. In this paper, we have focused on and evaluated resists for EUV lithography targeting sub-30nm patterning. The resists we evaluated were mainly chemically amplified resist for KrF and ArF and new type of resist for EUV. And we also tuned resists with solution and additives. We also checked several properties such as LWR (Line Width Roughness), minimum resolutions, and sensitivity curves. Several candidates have shown potentialities for EUV resists. In present, EUV resist is not perfect and has unsolved problems such as outgassing and low speed, but it will be also improved as soon as ArF and KrF have been done.
Printability of contact-hole patterns in EUVL using 0.3-NA HiNA optics
Although 50-56-nm contact-hole (C/H) patterns will be required in 2010, it is very difficult to fabricate such small C/H pattern by optical lithography. Since extreme-ultraviolet lithography (EUVL) uses a much shorter wavelength than optical lithography, it should provide better image contrast. We have installed a high-numerical-aperture (NA = 0.3) small-field EUV exposure tool (HiNA) and are now evaluating the printability of various kinds of patterns. In this study, C/H patterns with sizes of 50-150 nm were printed using the HiNA optics under the annular illumination (σ=0.5-0.8), and the printability was assessed. Fine C/H patterns, such as dense 55-nm C/H and isolated 50-nm C/H, were successfully fabricated using a binary mask without optical proximity correction. The slope of the mask linearity was about 1.0-3.0 for dense C/H (mask CD: 80-150 nm) and about 1.0-4.0 for isolated C/H (mask CD: 90-150 nm). Simulation results indicate that the aberration, the flare and the central obscuration of the HiNA optics considerably degraded the aerial images of fine C/H patterns. They also indicate that annular illumination (σ=0.5-0.8) is not suitable for obtaining good mask linearity in C/H patterns. A smaller central obscuration, less aberration, less flare and the optimization of σ should improve the resolution limit and mask linearity for C/H patterns.
Aerial-image modeling for the extreme ultraviolet microfield exposure tool at SEMATECH North
Patrick Naulleau, Kim Dean, Klaus Lowack
The availability of high resolution, low line-edge roughness, high sensitivity resists has recently been determined to be one of the most critical issues for the development of extreme ultraviolet (EUV) lithography. To address this issue, a series of 0.3 numerical aperture EUV microfield exposure tools (METs) has been developed. One of these tools is installed at SEMATECH North as part of its EUV Resist Test Center. The MET will be used as a resist evaluation tool and potentially as a mask evaluation tool; it is important to have an accurate knowledge of the aerial-image performance limits of the tool. Such knowledge enables the user to decouple optic effects from the resist and mask architecture effects being studied. Based on wavefront data provided by Zeiss (the manufacturer of the optic) and the lithographically measured flare data, PROLITH modeling is used to predict system performance under a variety of conditions.
The EUV resist test center at Sematech-North
The availability of photoresists meeting simultaneous resolution, sensitivity, and line edge roughness performance is a critical challenge for the acceptance of Extreme Ultraviolet Lithography. The Extreme Ultraviolet Resist Test Center (EUV RTC) at SEMATECH-North at the State University of New York at Albany is a state of the art facility to support the development of photoresists for EUV lithography. The facility was opened on September 28, 2005, for customer use. SEMATECH researchers, member companies, resist suppliers, and researchers from universities and institutes worldwide can use this neutral site for EUV resist development. The heart of the EUV RTC is an Exitech 5X EUV microstepper with a 0.3 numerical aperture (NA) lens. This tool has successfully imaged 45 nm dense lines in photoresists, and the ultimate imaging performance of the microstepper based on optics and wavefront quality should be near 25nm dense lines.
Process window study with various partial coherences on EUV MET (Micro Exposure Tool) optics
EUV lithography has the ability to support 22 nm logic (or 32nm half pitch) node and beyond. Similar to the DUV lithographic systems, partial coherence on EUV lithographic systems can have a big impact on process latitude for critical layers. Thus, it is important to understand the effect of partial coherence on EUV imaging systems. In this paper, process windows with various illumination settings are investigated. The experiments were done using the MET station at the Advance Light Source (ALS). The exposures were targeted for 60 nm, 50 nm, and 45 nm dense features. The outer and inner sigmas of annular illumination varied from 0.2 to 0.8. In addition, dipole, C-quad, and quad illuminations were used to explore the impact of the partial coherence on the process window. Knowledge gained can then be applied to verify lithography models and aid in future tool designs.
Advanced at-wavelength reflectometry with the EUV tube
André Egbert, Stefan Becker
For the precise characterization, optimization, and quality control of multilayer mirrors, masks, and other optical components for extreme ultraviolet (EUV) lithography, compact and flexible metrology tools are strongly required. At present, the characterization of EUV optics is carried out at synchrotron facilities. Usually this is a very expensive and time-consuming procedure. Therefore, compact, cheap, and easy-to-operate tools and systems are needed for a fast and reliable in-house at-wavelength reflectivity control. In this presentation we will provide an update on our commercial compact EUV source for in-house at-wavelength metrology. This source, called EUV tube, is based on electron-induced characteristic emission from solid targets. The EUV tube is debris-free, has excellent long-term temporal and spatial stability, and very low running costs. All source parameters are computer-controlled and the source size can be adjusted down to 10 μm. Recent improvements on EUV power scaling will be presented. Different applications in the field of at-wavelength metrology will be highlighted. New results on EUV reflectometry of multilayer mirrors and grazing incidence optics will be demonstrated and compared with measurements obtained at synchrotron and plasma-based facilities.
Lithographic characterization of low-order aberrations in a 0.3-NA EUV microfield exposure tool
Patrick Naulleau, Jason Cain, Kim Dean, et al.
Although tremendous progress has been made in the crucial area of fabrication of extreme ultraviolet (EUV) projection optics, the realization diffraction-limited high numerical aperture (NA) optics (above 0.2 NA) remains a concern. The highest NA EUV optics available to date are the 0.3-NA Microfield Exposure Tool (MET) optics used in an experimental exposure station at Lawrence Berkeley National Laboratory [1] and commercial METs [2] at Intel and SEMATECH-North. Even though these optics have been interferometrically demonstrated to achieve diffraction-limited wavefront quality, the question remains as to whether or not such performance levels can be maintained after installation of the optics into the exposure tool. Printing-based quantitative aberration measurements provide a convenient mechanism for the characterization of the optic wavefront error in the actual lithography tool. We present the lithographic measurement of low-order aberrations in the Berkeley MET tool, including a quantitative measurement of astigmatism and spherical error and a qualitative measurement of coma. The lithographic results are directly compared to interferometry results obtained from the same optic. Measurements of the Berkeley MET indicate either an alignment drift or errors in the interferometry on the order of 0.5 to 1 nm.
EUV optical system for the reticle imaging microscope (RIM)
H. Glatzel, J. Daniel, K. Khajehnouri, et al.
The EUV optical system of the Reticle Imaging Microscope (RIM) for EUV mask inspection consists of a pinched Xeplasma source, a pupil-relayed Koehler-type illumination system and an equal-radii Cassegrain-type microscope with a 10x magnification1. The 3D surface topologies were characterized over spatial wavelengths ranging from the clear apertures down to a few nanometers by using a portfolio of instruments including contacting profilometry, phase-shifting interferometry at 633 nm at various magnifications and Atomic Force Microscopy. Measured 3D topography maps were Fourier analyzed and Power Spectral Densities (PSDs) are computed over spatial periods ranging from the critical aperture down to a few nm. Integrated RMS surface errors over typically reported spatial period ranges were computed. For a different optical system we improved our polishing process to reduce surface errors for spatial periods below 10 mm. PSDs and integrated RMS surface errors will be shown in comparison with typical RIM surfaces. All surfaces of the RIM optical system were coated with high-reflectivity coatings to maximize optical throughput. A description of the coatings and their performance had been published recently by Michael Kriese et al.2 The transmitted wavefront error (TWF) of the imager module was measured in a double pass configuration using a Fizeau-type Interferometer at 633 nm wavelength and a convex retrosphere. The measured TWF will be shown over the entire Numerical Aperture (NA = 0.0625) of the microscope. The integrated RMS of the TWF measured 0.79 nm.
Poster Session EUV Optics
icon_mobile_dropdown
Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devices
J. P. Allain, M. Nieto, A. Hassanein, et al.
EUV metallic light radiators such as Sn or Li used for lithography will limit the lifetime of collector optics in source devices by both contamination and irradiation. Generation of EUV light requires the use of hot, dense plasma. Pinch dynamics generates fast ions and atoms, such as metallic sources (Sn, Li) with energies ranging from 100 eV up to several keV. The expanding Sn plasma will thermalize and condense in nearby components, including the debris shield and collector optics. The incident distribution of debris onto the collector optics will likely include Sn fast ions. Sn contamination will lead to two different mechanisms. One is condensation and Sn thin-film buildup on the reflective optics surface (i.e., Ru or Pd mirror) from the thermalized Sn plasma. This mechanism will lead to performance failure after about 1-2 nm build up of Sn thin film whereby the at-wavelength EUV reflectivity will decrease 20% in magnitude for grazing incident angles less than 20-degrees. The second mechanism is more complex. Fast Sn ions generated at the pinch will reach the collector optics and induce mixing, sputtering, and implant at depths between 3 and 5 monolayers on the Ru or Pd surface. EUV light can also induce ionization in background Ar or He gas used for debris mitigation. Low-energy Ar or He ions therefore impinge on the collector mirror surface at threshold-level energies between 40 and 100 eV. A steady-state Sn surface concentration will be attained after a given fluence of both Sn debris and low-energy Ar ions. The amount of Sn implanted or deposited will affect EUV reflectivity as a function of ion and/or atom fluence. Sn contamination mechanisms, as well as threshold-level sputtering from inert ion species, are studied in the IMPACT (Interaction of Materials with charged Particles and Components Testing) experiment. Sn exposure conditions include incident singly charged particles between 500 and 1000 eV, oblique incidence and incident fluxes ranging from 1011 to 1014 ions/cm2/s. In-situ surface metrology includes sputter yield diagnosis, Auger electron spectroscopy, X-ray photoelectron spectroscopy, direct recoil spectroscopy and low-energy ion scattering spectroscopy, and at-wavelength EUV reflectivity.
Active cleaning for lithium-coated optics for HVM EUV systems
M. J. Neumann, E. Ritz, R. A. Defrees, et al.
A critical issue leading to decreased mirror lifetime is the buildup of debris on the surface of the primary mirror optics that comes from the use of both Sn and Li in GDPP or LPP. While lithium can easily be evaporated from the optic surface initially, over long duration high volume manufacturing, it is experimentally observed that there can be lithium debris buildup on the optic surfaces, which can lead to shortened lifetime of the mirror optics and decreased productivity of the tool. Consequently, an in situ cleaning process is needed so as to remove and limit the surface contamination on the optics so as to extend the lifetime of the optics. The Surface Cleaning of EUV Optics by Plasma Exposure (SCOPE) experiment was developed to study the mechanism of lithium deposition and the resulting diffusion to the end goal of using a secondary plasma source to preferentially remove the lithium surface contamination while leaving the underlying optic matrix in tact. Results have shown preferential lithium debris mitigation and sputtering from the surface of the MLM optic materials through the use of a secondary plasma that does not interfere or absorb EUV photons.
Halide etching for tin EUV optics cleaning
Hyung Joo Shin, Brian E. Jurczyk, D. N. Ruzic, et al.
Tin (Sn) has the advantage of delivering higher conversion efficiency than xenon. However, the use of a condensable fuel in a lithography system leads to some additional challenges for maintaining a satisfactory lifetime of the EUV optics. A critical issue leading to decreased mirror lifetime is the buildup of debris on the surface of the primary mirror optics that comes from the use of Sn in GDPP or LPP. This leads to a decreased reflectivity from the added material thickness and increased surface roughness that contributes to scattering. Reactive ion etching (RIE) with halide ions is one potential solution to this problem. Encouraging results were obtained using argon/chlorine and other gas mixtures in a PlasmaTherm SLR-770 inductively coupled plasma reactive ion etcher at the Micro and Nanotechnology Laboratory at the University of Illinois. The results showed that at low sample bias tin could be etched at a rate of 278 nm/min with a selectivity of tin removal rate to SiO2 removal rate over 9000. However, the use of the etcher at a multi-user facility did not provide adequate control over experimental parameters and reproducible cleanliness of the system. Moreover, the simulating the real optics in the PlasmaTherm SLR-770 was not allowed. Hence, a collector mock-up which can simulate the real collector optics was constructed. This work presents results for etch rate and selectivity for halide etching of tin in the Plasma-Material Interaction Group's new reactive ion etching experiment where control over important parameters such as gas mixture, RF power, sample bias, and sample temperature; as well as overall system cleanliness is maintained. The effect of these parameters on etch rate and selectivity will be presented. In addition, the results of our recipes in the optics mock-up will be shown.
New contamination experimental equipment in the NewSUBARU and evaluation of Si-capped multilayer mirrors using it
Masahito Niibe, Yukinobu Kakutani, Shigeru Terashima, et al.
New experimental equipment was installed in the NewSUBARU synchrotron radiation facility in order to investigate the contamination inhibition mechanism of projection optics for extreme ultraviolet lithography (EUVL). The equipment consisted of two all-metal sealed chambers, and the atmosphere was accurately controlled a over the wider degree of vacuum compared to the previous experimental equipment. The light source was the long undulator (LU) which can irradiate a sample with high EUV flux density of about 200 mW/mm2. Reflectivity and its distribution of an irradiated sample can be measured in situ. NEXAFS spectrum of the sample can be also obtained in situ utilizing the beam-line monochromator, which is a useful method for surface analysis. Using this equipment, EUV irradiation, reflectance measurement, and surface analysis were carried out for Si-capped Mo/Si multilayer (ML) samples. A wavelength dependence of photoemission current was changed at the irradiated area, which suggested that the phase change of standing wave at the ML surface occurred from contamination.
Study of ruthenium-capped multilayer mirror for EUV irradiation durability
Hiromitsu Takase, Shigeru Terashima, Yoshio Gomei, et al.
The changes of chemical state and multilayer structure of Ru capped multilayer mirrors (MLMs) by irradiation of extreme ultraviolet (EUV) from synchrotron radiation (SR) were investigated using Auger electron spectroscopy (AES). It was found that irradiation induced Si diffusion and Si oxidation. Calculation of temperature distribution showed that Si diffusion was less relevant to temperature during irradiation.
Table-top EUV reflectometer
A novel compact EUV-reflectometer recently developed is presented. The designconcept relies on a flexible approach, thus this reflectometer can be set up as a compact table-top tool for a specified task as well as a full all-purpose reflectometer. As an EUV-source an electron-based microfocus EUV-tube is used. This EUV source is debris-free and provides a typical output of 30μW at 13.5 nm. The reflectometer benefits from the very good long-time stability and spatial stability of this tube. Reflectivity measurements from grazing incidence to nearly normal incidence as well as transmission studies can be realized in the same setup at a typical precision of measurements of 0.5%. A precise computer-controlled positioning unit allows to vary and scan all important parameters online, allowing for example complex surface scans and angle variations. The concept of the reflectometer is discussed and recent results are presented. This device can be purchased from the Laser Zentrum Hannover e.V.
Polarization dependence of multilayer reflectance in the EUV spectral range
Frank Scholze, Christian Laubis, Christian Buchholz, et al.
The development of EUV lithography depends strongly on the availability of suitable metrology equipment. The Physikalisch-Technische Bundesanstalt (PTB) with its laboratory at the electron storage ring BESSY II is the European centre of EUV radiometry and supports the national and European industry by carrying out high-accuracy at-wavelength measurements in the EUV spectral region, particularly to support the development of EUV lithography, which holds the key to the next generation of computer technology. To meet the requirements of industry, PTB operates an EUV reflectometry facility, designed for at-wavelength metrology of full-size EUVL optics with a maximum weight of 50 kg and a diameter of up to 550 mm and a micro-reflectometry station for reflectometry with sub 10 μm spatial resolution. An absolute uncertainty of 0.10 % is achieved for peak reflectance, with a reproducibility of 0.05 %. For the center wavelength an uncertainty of 2 pm is achieved with a long-term reproducibility of 1.1 pm and a short-term repeatability below 0.06 pm. To transfer these high-accuracy measurements to the EUV optical components under working conditions it is essential to study the polarization dependence. Measurements at PTB use linearly polarized radiation, whereas EUV optics are operated with unpolarized sources and the status of polarization changes throughout the optical system. PTB has therefore investigated and verified the capabilities of the EUV reflectometer for measurements with variable polarization. Taking advantage of all mechanical movements for detector and sample, measurements with arbitrary orientation of the electric field vector can be carried out up to an angle of incidence of 20°. We present representative polarization dependencies obtained on Mo/Si multilayer coatings, including measurements with a 45° orientation of the polarization to the optical plane of deflection to simulate the behavior for unpolarized radiation.
Multilayer optics with spectral purity layers for the EUV wavelength range
E. Louis, R. W .E. van de Kruijs, A. E. Yakshin, et al.
Reported are the first calculations and experimental results of the deposition of EUV multilayer coatings that actively suppress the reflectance in the VUV wavelength range. In the undesired 100-200 nm band a factor of five reduction was achieved for one single optical element, while only a minor loss of 4.5% reflectance for λ = 13.5 nm, the operating wavelength of EUVL, was found.
Poster Session Nanotech
icon_mobile_dropdown
Sub-100nm trackwidth development by e-beam lithography for advanced magnetic recording heads
Jei-Wei Chang, Chao-Peng Chen
Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.
Fabrication of organic nanoparticles by PRINT: Master generation using lithographic and RIE techniques
Ashish A. Pandya, Benjamin W. Maynor, Stephanie E. A. Gratton, et al.
By using PRINT (Particle Replication In Non-wetting Templates), a general soft technique for replication of diverse shapes at the sub-micron level, we have been able to produce organic nano-particles. Lithographic patterning (using 193nm exposure tool) was employed to generate 160nm posts on a 6" Si wafer; the material of the posts being the organic polymer based commercial photoresist. RIE was then performed on the patterned substrate to transfer the geometry to the Si; various aspect ratios of the Si nano-posts were obtained upon etch time variation. PRINT was used to make a mold of the nano-features on the Si wafer and subsequently fabricate cross-linked organic nano-particles by using PEG-diacrylate (PolyEthylene Glycol diacrylate). Such organic nano-matrices would be potentially useful as therapeutic agent carriers, imaging chemical encapsulants and localized drug delivery vehicles.
Proximity lithography membrane mask aeroelasticity
Proximity lithography places a thin membrane mask into close proximity (5-100 micron) to a wafer for exposure to radiation and pattern placement. Efficient production practices require that the wafer be positioned relative to the mask as quickly as possible. The positioning maneuvers involve both a lateral motion and a closing of the mask-to-wafer gap. Gap closing requires forcing the exposure chamber gas (usually air or helium, possibly at a mild vacuum) between the mask and wafer out through the edges of the gap in a squeeze film process that can substantially deflect and damage the membrane mask. Moving laterally, i.e. stepping, would be more efficient if it were performed at the close proximity gap. The buildup of hydrodynamic pressures while stepping at gap can deform and possibly damage the mask. This paper discusses methods to model, measure and control aeroelastic effects due to gap closing and lateral stepping at gap. The analysis considers an aeroelastic model based on coupling Reynolds' hydrodynamic lubrication theory with membrane mechanics. A principal result of the analysis is the prediction that it is possible to step at gap and produce minimal aeroelastic out-of-plane deflections, if the wedge angle is zero, and both the membrane and mask have a flat profile. The aeroelastic models are confirmed with experiments that measure out-of-plane stepping of a membrane versus wedge angle, gap and speed. Non-flat mask profiles, such as buttes and mesas raise additional aeroelastic issues are also examined.
Electron beam lithography for high aspect-ratio trench patterning in thick resist: Experimental and simulation results
Jianyun Zhou, Shuaigang Xiao, Werner Scholz, et al.
The drive for higher magnetic storage density is correspondingly pushing to minimize the lithographic critical dimensions of the read/write components of thin film magnetic recording heads while maximizing the aspect ratio. Electron beam lithography can provide adequate resolution for research and development of magnetic heads. In this work, we present the experimental results of high aspect ratio trench patterning in 1.0-4.0 μm thick single-layer CAR resists with Leica VB6 operating at 50 keV. Although the maximum achievable aspect ratio in thick resist is limited by the forward scattering of the primary electron beam as it passes through the resist towards the resist-substrate interface, a sub-50 nm isolated top pole trench structure with an aspect ratio about 20:1 has been achieved by using e-beam SAFIER shrink process. To better understand the electron beam proximity effect on the resist profile in thick resists, electron beam simulation has been implemented. The theoretical limit of resist profiles has been predicted by simulation.
Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3D arrays on glass substrates
Kenton B. Wiles, Natasha S. Wiles, Kevin P. Herlihy, et al.
The fabrication of nanometer size structures and complex devices for microelectronics is of increasing importance so as to meet the challenges of large-scale commercial applications. Soft lithography typically employs elastomeric polydimethylsiloxane (PDMS) molds to replicate micro- and nanoscale features. However, the difficulties of PDMS for nanoscale fabrication include inherent incompatibility with organic liquids and the production of a residual scum or flash layer that link features where the nano-structures meet the substrate. An emerging technologically advanced technique known as Pattern Replication in Non-wetting Templates (PRINT) avoids both of these dilemmas by utilizing photocurable perfluorinated polyether (PFPE) rather than PDMS as the elastomeric molding material. PFPE is a liquid at room temperature that exhibits low modulus and high gas permeability when cured. The highly fluorinated PFPE material allows for resistance to swelling by organic liquids and very low surface energies, thereby preventing flash layer formation and ease of separation of PFPE molds from the substrates. These enhanced characteristics enable easy removal of the stamp from the molded material, thereby minimizing damage to the nanoscale features. Herein we describe that PRINT can be operated in two different modes depending on whether the objects to be molded are to be removed and harvested (i.e. to make shape specific organic particles) or whether scum free objects are desired which are adhered onto the substrate (i.e. for scum free pattern generation using imprint lithography). The former can be achieved using a non-reactive, low surface energy substrate (PRINT: Particle Replication in Non-wetting Templates) and the latter can be achieved using a reactive, low surface energy substrate (PRINT: Pattern Replication in Non-wetting Templates). We show that the PRINT technology can been used to fabricate nano-particle arrays covalently bound to a glass substrate with no scum layer. The nanometer size arrays were fabricated using a PFPE mold and a self-assembled monolayer (SAM) fluorinated glass substrate that was also functionalized with free-radically reactive SAM methacrylate moieties. The molded polymeric materials were covalently bound to the glass substrate through thermal curing with the methacrylate groups to permit three dimensional array fabrication. The low surface energies of the PFPE mold and fluorinated glass substrate allowed for no flash layer formation, permitting well resolved structures.
Photocurable Pillar Arrays Formed via AC- and Ultrasound-Induced Electrohydrodynamic Instabilities
Pavlos C. Tsiartas, Michael D. Dickey, Keris E. Allrich, et al.
Application of an external electric field across thin polymeric films is known to induce the formation of arrays of cylindrical structures commonly referred to as pillars. Low viscosity photocurable materials have been shown to possess significant advantages over their polymeric counterparts both in terms of a significant reduction in the time of formation, as well as in the elimination of additional processing steps, such as heating and cooling cycles. With proper design, pillar arrays with predetermined diameter, period, location and orientation can theoretically be formed over an entire substrate surface, thus enabling their use in applications such as optics-less patterning, micro-electro-mechanical systems, and micro fluidic devices. In this paper, we present our recent work in using a low viscosity thiolene system to produce pillar arrays and attempts at inducing long range order in the resulting pillar arrays by (1) varying the nature of the external electric field in the form of a sinusoidal AC voltage (instead of the conventional DC voltage) and (2) the use of ultrasonic piezo transducers to generate regular waves in the film with simultaneous application of a potential across the film sample. Our initial results show that although both the AC voltage and the ultrasonic piezo methods are successful in producing pillar arrays, their ordering is short-ranged and does not extend throughout the entire sample area.
Micro-stereo-lithography system
T. Yoshimoto, I. Miyaki, H. Yaze, et al.
We have realized Micro-stereo-lithography technology and system. It can make micro 3D structures more reasonable throughput than existing systems. It has less 2 micron resolutions in the XY directions and 5 micron resolution in the Z layer. We developed a high resolution optical-apparatus, some new photo-polymerizing polymers and a mechanism to coat the thin film of the polymer. Our system can offer various structures with over-hung, hollowed and spiral shape with reasonable resolution and quick turnaround time by maskless technology. We show these results, for example, micro turbine, micro coil and photonic crystal. We hope these technologies will be useful for various fields in the future, for example, nano-imprint, industrial parts and medical fields.
Integrated simulation of line-edge roughness (LER) effects on sub-65nm transistor operation: From lithography simulation, to LER metrology, to device operation
Understanding how CD metrology, lithographic material and processing, affect linewidth roughness (LWR), and finally device operation is of immense importance in future scaled MOS transistors. The goal of this work is to determine the impact of spatial LWR parameters as well as the relative importance of LWR and CD variation on device operation and to connect material and process parameters with these effects. To this end, we examine first the impact of LWR on threshold voltage shifts by using model lines with fractal self-affine characteristics for the simulation of transistor gate morphology. It is found that for resist lines or transistor gates with constant sigma LWR σLWR, the decrease of spatial LWR parameters (correlation length ξ and roughness exponent α) leads to smaller deviations from the designed electrical transistor performance. Second, the effects of photoresist polymer length and acid diffusion length on LWR parameters and transistor performance are investigated. Through the application of a homemade simulator of the lithographic process, it is shown that photoresists with small polymer chains and small acid diffusion lengths form lines with low LWR parameters (r.m.s. LWR σLWR, ξ ,α) and thus lead to transistors with more reliable electrical performance. Furthermore, the related problem of the relative importance of CD variation and LWR on device operation is addressed. We confirm and generalize the findings of previous works according to which CD variation has more drastic effects on threshold voltage shift than LWR.
Using phase-mask algorithms to direct self assembly
Recent descriptions of novel directed self assembly (DSA) materials have suggested that exceptional linewidth control may be achieved when imbalanced block co-polymers assemble in a lithographic setting. By laying down initial patterns that serve as a guide for polymer film formation, relatively isolated periodic lines 20-40 nm can be formed. Because the linewidth is dictated by the polymer molecular weight, such a process should be immune to some sources of noise, such as shot noise, that plague other pattern formation methods at these dimensions. This technique can work well for the formation of fine features, but larger patterns need to be formed by other means. This is quite similar to phase-shifting mask (PSM) double exposures, in which periodic patterns are also preferred. We discuss here the applicability of already developed phase mask data parsing algorithms to fine line formation with DSA materials, and propose process flows in which these algorithms can be used directly with little or no modification.
Poster Session EUV Source
icon_mobile_dropdown
High-power pulsed CO2 laser for EUV lithography
Laser produced plasma is the candidate for high quality, 115 W EUV light source for the next generation lithography. Cost effective laser driver is the key requirement for the realization of the concept as a viable scheme. A CO2 laser driven LPP system with a Xenon or Tin droplet target, is therefore a promising light source alternative. We are developing a high power and high repetition rate CO2 laser system to achieve 10 W intermediate focus EUV power. High conversion efficiency (CE) from the laser energy to EUV in-band energy, is the primarily important issue for the concept to be realized. Experimental and numerical simulation analysis of a Xenon plasma target shows that a short laser pulse less than 15 ns is necessary to obtain high CE by a CO2 laser. This paper describes on the development of a CO2 laser system with a short pulse length less than 15 ns, a nominal average power of a few kW, and a repetition rate of 100 kHz based on RF-excited, axial flow CO2 laser amplifiers. Output power of 1 kW has been achieved with a pulse length 15 ns at 100 kHz repletion rate in a small signal amplification condition. The phase distortion during the amplification is negligible and the beam is focused down to 100μm diameter onto a fast Xenon jet. The conceptual design of the CO2 laser system for LPP EUV light source, and amplification performance in short pulse using RF-excited axial flow laser as amplifiers, are reported. Additional approach to increase the amplification efficiency is discussed.
Investigation of a novel discharge EUV source for microlithography
Bruno S. Bauer, Volodymyr Makhin, Stephan Fuelling, et al.
A plasma discharge could be an inexpensive and efficient EUV source for microlithography, if issues of brightness, lifetime, debris, repetition rate, and stability can be resolved. A novel discharge EUV source (international patent pending) is being investigated that may offer an economical solution to these issues. The novel EUV discharge seeks to efficiently assemble a hot, dense, uniform, axially stable plasma with magnetic pressure and inductive current drive, employing resonant theta-pinch-type compression of plasma confined in a magnetic mirror. This resonantly compressed mirror plasma (RCMP) source would be continuously driven by a radio frequency oscillator, to obtain an EUV conversion efficiency greater than that of sources in which the plasma is discarded after each radiation burst. An analytic calculation indicates the novel RCMP source could provide 115 W of 13.45 nm radiation in 3.3 mm2sr etendue to an intermediate focus. Numerical modeling of RCMP dynamics has been performed with MHRDR-EUVL, a magnetohydrodynamic (MHD) numerical simulation with atomic and radiation physics. The numerical simulation demonstrates the efficacy of resonant magneto-acoustic heating. An experiment is being developed to test the new concept.
Optical exposure characterization and comparisons for Sn EUV systems
A critical issue for EUVL is the minimization of collector degradation from intense plasma erosion, debris deposition and hydrocarbon/oxide contamination. Collector optics reflectivity and lifetime heavily depends on surface chemistry and interactions between fuels and various mirror materials, such as silicon, in addition to high-energy ion and neutral particle erosion effects. As a continuation of our prior investigation of DPP and LPP Xe plasma interactions with collector optics surfaces, the University of Illinois has analyzed collector samples before and after exposure in a Sn-upgraded Xtreme Technologies EUV source. Sn DPP post-exposure characterization includes multiple samples, Si/Mo multilayer film with normal incidence, 200 nm thick Ru film with grazing incidence, as well as a Gibbsian segregated (GS) Mo-Au alloy developed on silicon using a DC dual-magnetron co-sputtering system at UIUC. Pre and post exposed sample characterization studies actually investigates the surface roughness properties, erosion resistance and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. Surface analysis draws heavily on the expertise of the Center for Microanalysis of Materials at UIUC, and investigates mirror degradation mechanisms by measuring changes in surface roughness, texture, and grain sizes as well as analysis of the implantation of energetic Sn ions, Sn diffusion, and mixing of multi-layers. Results from atomic force microscopy (AFM) and auger electron spectroscopy (AES) measurements show exposure effects on surface roughness and contamination. The best estimates of thickness and the resultant erosion measurements are obtained from scanning electron microscopy (SEM). Implantation, diffusion, and mixing effects are analyzed with depth profiles using AES. Materials characterization on samples, removed after varying exposure times in the XTS source, together with in-situ EUV reflectivity measurements, can identify the onset of different degradation mechanisms within each sample. These samples are the first fully characterized materials to be exposed to a Sn-based DPP EUV source. Several valuable and interesting facts were noticed. First, hot mirrors exposed to SnCl4 will cause decomposition of the gas and will result into a contamination layer build up on the mirror surface. Secondly, erosion is mitigated to some extent by the simultaneous deposition of material. Third and most important is that the Gibbsian segregation concept works and a thin Au layer is maintained during the entire exposure, even though overall erosion took place. This phenomenon could be very useful in the design and development of a collector optics surface. In addition, this paper will present Sn DPP collector erosion mechanisms, source debris spectra and provide insight into plasma-facing optics lifetime as HVM tool conditions are approached.
Debris characterization and mitigation from tin DPP EUV sources
An extreme ultraviolet light (EUV) source is investigated at the University of Illinois at Urbana-Champaign (UIUC) to characterize debris ejecta. The source is a z-pinch plasma that can be used with either Sn or Xe fuels to create EUV light. As the plasma compresses, high energy photons in the extreme ultraviolet range are released and available for EUV lithography. The light emission is followed by ejection of electrons and then multiply charged ions which can significantly damage nearby mirror surfaces through erosion and deposition mechanisms. Characterization of the ejecta is performed with a spherical sector electrostatic energy analyzer (ESA) that identifies ion species by energy-to-charge ratio using ion time of flight (ITOF) analysis. The ESA is used to characterize both the energy and angular distributions of the debris fields. This instrument is capable of monitoring up to 15keV ions emitted from the source. Experiments are also performed in which data from the ESA is used to calculate total scattering cross-sections of Xe+ and other observed ions through manipulation of chamber partial gas pressures. Comparisons are made between the observed ion spectra of the EUV light source when Xe or Sn fuels are used.
EUV source collector
Norbert R. Böwering, Alex I. Ershov, William F. Marx, et al.
A collector subsystem has been designed, built, and tested. The subsystem consists of a 320mm diameter ellipsoidal collector coated with a graded multilayer, mounting mechanics, thermal management capability, and a collector protection system. The EUV light emission can be collected with a solid angle of 1.6 sr. Collector substrates have been developed with the goal of offering both optical surface quality to support high multilayer mirror (MLM) reflectivity and material compatibility for long-term operation in the EUV source system. An interface-engineered MLM coating capable of maintaining high normal-incidence peak reflectivity at 13.5 nm during continuous operation at 400 °C has been developed. The thermal management of the system has been engineered and tested to maintain uniform substrate temperature during operation. Lastly, protection techniques have been developed to provide the collector with a long operational lifetime. Performance data for the entire subsystem are presented. The collector was installed in the source chamber of a laser-produced-plasma EUV source during system integration experiments using a tin droplet target. First results of the collected EUV output at the intermediate focus measured with a power meter and a fluorescence-converter-based imaging system are discussed.
EUV source developments on laser-produced plasmas using cryogenic Xe and Lithium new scheme target
We intended to use deposition-free target such as cryogenic Xe target as a laser produced plasma EUV source. We reported an enhancement of conversion efficiency (CE) by double pulse irradiation and a CE dependence on wavelength of drive laser. Lithium target used with hot multi-layer mirror was proposed by Cymer, as a new deposition-free target. We made EUV source studies experimentally on cryogenic Xe target and lithium new scheme target. In this paper, we report newly made double pulse irradiation experiments on cryogenic Xe target and an enhanced EUV generation with new "forced recombination" and/or "expansion energy re-conversion" lithium target. Laser systems used in the experiments were a 320 Hz repetition rate Nd:YAG slab laser and a 10 Hz Nd:YAG rod laser with maximum pulse energy of 1J. EUV emissions were measured by a time resolved and a time integrated imaging cameras, a transmission and a grazing incidence spectrometers, fast EUV photo-diodes and a Flying Circus 3 for power monitor. Temporal resolved EUV images from Li target indicate relatively long emission time and large emission area. The size of emission area is improved and the emission intensity is enhanced by adding a wall in front of the Li target. Experimental results indicate the expected forced recombination and expansion energy re-conversion characteristics.
KrF laser driven xenon plasma light source of a small-field exposure tool
Tamotsu Abe, Masato Moriya, Hiroshi Someya, et al.
A small field exposure tool (SFET) is currently being built in Japan by the Extreme Ultraviolet Lithography System Development Association (EUVA) and Canon Inc. The laser plasma light source of SFET has been developed at the EUVA Hiratsuka R&D center. The drive laser of the xenon plasma source is a short-pulse, high-power KrF laser, that has been developed in cooperation with Gigaphoton Inc. and Komatsu Ltd. The laser has a maximum output power of 580W at 4kHz repetition rate. The xenon target is a 50 micrometer diameter liquid jet with a speed of about 30 m/s. The source has been designed to generate 0.5W in-band power at the intermediate focus at a collecting solid angle of pi sr. The set-up of the source at the Hiratsuka R&D center has been completed and the source is now being evaluated.
Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source
T. Inoue, P. E. Nica, K. Kaku, et al.
In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×1011 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.
Energy spectra and charge state of debris emitted from laser-produced minimum mass tin plasmas
Shinsuke Fujioka, Hiroaki Nishimura, Tsuyoshi Ando, et al.
Laser-produced Sn plasma is an efficient extreme ultraviolet (EUV) light source, however the highest risk in the Sn-based EUV light source is contamination of the first EUV collection mirror caused by debris emitted from the Sn plasma. Minimum mass target is a key term associated with relaxation of the mirror contamination problem. For design of the optimum minimum mass Sn target, opacity effects on the EUV emission from the laser-produced Sn plasma should be considered. Optically thinner plasma produced by shorter laser pulse emits 13.5 nm light more efficiently; 2.0% of conversion efficiency was experimentally attained with drive laser of 2.2 ns in pulse duration, 1.0 × 1011 W/cm2 in intensity, and 1.064 μm in wavelength. Under the optimum laser conditions, the minimum mass required for sufficient EUV emission, which is also affected by the opacity, is equal to the product of the ablation thickness and the required laser spot size. Emission properties of ionized and neutral debris from laser-produced minimum mass Sn plasmas have been measured with particle diagnostics and spectroscopic method. The higher energy ions have higher charge states, and those are emitted from outer region of expanding plasmas. Feasibility of the minimum mass target has been demonstrated to reduce neutral particle generation for the first time. In the proof-of-principle experiments, EUV emission from a punch-out target is found to be comparable to that from a static target, and expansion energy of ion debris was drastically reduced with the use of the punch-out target.
Analysis of the emission spectrum of Xe and Sn
A. Sasaki, K. Nishihara, A. Sunahara, et al.
The atomic processes in the Xe and Sn plasmas are investigated. The wavelength of atomic transitions is shown to have a critical effect in reproducing experiments. The wavelengths of resonance lines in our model are improved through detailed comparison with charge specific spectroscopic measurement. Distribution of satellite lines in the presence of the effect of the configuration interaction (CI) is investigated. The spectral profile of Xe and Sn emission, which determines fraction of usable EUV power, is discussed with respect to its dependence on the plasma temperature, density as well as the optical depth.
High-power low cost drive laser for LPP source
We report on the approach for a high-power high-beam-quality drive laser system that is used for a laser-produced plasma (LPP) EUV source. Cymer has conducted research on a number of solutions for a multi-kW drive laser system that satisfy high volume production requirements. Types of lasers to be presented include XeF at 351 nm and CO2 at 10.6 micron. We report on a high efficiency XeF amplifier with a 3rd harmonic Nd:YLF master oscillator operated in the 6 to 8 kHz range and a CO2 laser system with Q-switched cavity dumped master oscillator and RF pumped fast axial flow amplifiers operated in the 10 to 100 kHz range. CO2 laser short pulse gain and optical isolation techniques are reported. Optical performance data and design features of the drive laser system are discussed, as well as a path to achieve output power scaling to meet high volume manufacturing (HVM) requirements and beyond. Additionally, the electrical efficiency as a component of cost of operation is presented. Development of a drive laser with sufficient output power, high beam quality, and economical cost of operation is critical to the successful implementation of a laser-produced-plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system with two gas-discharge power amplifiers to produce high output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet HVM requirements. Development of a drive laser for LPP EUV source is a challenging task. It requires multi-kW laser output power with short pulse duration and diffraction limited beam quality. In addition, this system needs to be very reliable and cost-efficient to satisfy industry requirements for high volume integrated circuit manufacturing. Feasibility studies of high power laser solutions that utilize proven laser technologies in high power optical gain modules and deliver required beam properties have been performed and are reported.
Optimization of EUV/SXR plasma radiation source characteristics
J. J. MacFarlane, P. Wang, I. E. Golovkin, et al.
Laser-produced plasmas (LPPs) are being studied as potential extreme ultraviolet (EUV) and soft x-ray (SXR) sources for a wide variety of applications in commercial, defense, and medical research. For radiation sources to be of practical use in these systems, they must very efficiently emit light at the desired wavelength. EUV lithography, a viable approach in the manufacture of next-generation semiconductor chips, requires radiation sources that efficiently emit light at a wavelength of 13.5 nm, while producing relatively little radiation at other wavelengths in order to avoid damaging the wafer. Developing highly efficient plasma radiation sources requires a good understanding of critical physics issues that influence the plasma emission, including laser heating, plasma hydrodynamics, radiation transport, and atomic physics. We have developed a suite of well-tested plasma hydrodynamics, atomic physics, and plasma radiation simulation tools that are being used to simulate in detail the key physical processes in LPPs, and guide the development of higher efficiency plasma radiation sources. These tools include: 1-D and 2-D radiation-hydrodynamics codes, multidimensional spectral analysis tools, and a suite of atomic physics codes used to generate accurate atomic databases for radiation source simulations. Here, we discuss results from 2-D simulations of tin spherical droplets irradiated on one side by 0.35 μm laser beams. In particular, we examine the angular dependence of the 13.5 nm flux from the Sn plasma, and the sensitivity of the 13.5 nm conversion efficiency (CE) to the laser spot size and laser pulse width.
EUV generation from lithium laser plasma for lithography
Simi A. George, William Silfvast, Kazutoshi Takenoshita, et al.
Hydrogen-like line emission from lithium has long been considered a candidate for EUV light source for lithography. We have completed the evaluation of the potential of lithium as a laser-plasma source, both theoretically and experimentally. Theoretical calculations show optimum intensity region for lithium for attaining high conversion is close to 5.0 x 1011 W/cm2, with plasma temperature near 50 eV. Experimental studies compare directly, the conversion efficiency and optimum irradiation conditions for both planar tin and lithium solid targets. Best conversion efficiency found in this study is 2% for lithium, while CE measured is better than 4% for tin target at identical experimental conditions.
Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses
Takeshi Higashiguchi, Naoto Dojyo, Masaya Hamada, et al.
We demonstrated a debris-free, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nano-particles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.
Study of the dynamic evolution and spectral properties of multi-component plasmas for EUV production
The production of plasmas that radiate efficiently in the Soft X-Ray (SXR) or in the Extreme Ultraviolet (EUV) regions of the electromagnetic (EM) spectrum can be accomplished through laser-material interaction or via gas discharge. This paper studies the expanding plasma dynamics of ions produced from a 5J Z-pinch xenon light source used for EUV lithography. Mixed fuel experiments are performed using a mixture of Xe, N2 and H2. Energy spectra show keV-range ion energies due to the self-generated electrostatic potential created by the expanding plasma. The average energy of the expelled Xe ions is significantly decreased if the mobile lighter gas species are present to mitigate this self generated potential. The magnitude of the Xe ion signal is reduced as well. This reduction in the quantity of heavy ions and their energy could greatly extend the lifetime of the collector optics used in EUV lithography. Also the study of the dynamic evolution and spectra of plasmas is directly applicable to many projects of interest, this work will focus primary on the production of EUV radiation for nano-scale lithography. Modeling results presented here were generated using a variety of codes some developed by the Plasma Materials Interaction (PMI) group at the University of Illinois, while others, namely PrismSPECT a spectral analysis suite, were developed by Prism Computational Sciences. Presented modeling results are compared to experimental data from XTREME Commercial EUV Emission Diagnostic (XCEED) experiment at the University of Illinois.
Development of Xe- and Sn-fueled high-power Z-pinch EUV source aiming at HVM
Yusuke Teramoto, Gohta Niimi, Daiki Yamatani, et al.
Discharge-produced plasma (DPP) based EUV source is being developed at Gotenba Branch of EUVA Hiratsuka R&D Center. Among the several kinds of discharge scheme, Z-pinch is employed in our source. An all-solid-state magnetic pulse compression (MPC) generator is used to create a Z-pinch plasma. Low inductance MPC generator is capable of producing a pulsed current with over 50 kA of peak amplitude and about 100 ns of pulse duration at 7 kHz of pulse repetition frequency. In order to obtain sufficient output radiation power, tin-containing gas is being used as well as xenon. Due to the high spectral efficiency of tin, demonstrated EUV output power reached 645 W/2πsr within 2% bandwidth around 13.5 nm. A novel scheme of fuel gas supply led to as good output energy stability as xenon can achieve. Using a nested grazing-incidence collector, EUV power at intermediate focus point which is defined as an interface to the exposure tool reached 42 W with 3.3 mm2sr of etendue.
High-temperature LPP collector mirror
The EUV source output power and the collector optics lifetime have been identified as critical key issues for EUV lithography. In order to meet these requirements a heated collector concept was realized for the first time. An ellipsoidal collector substrate with an outer diameter of 320 mm was coated with a laterally graded high-temperature multilayer. The interface-engineered Mo/Si multilayer coating was optimized in terms of high peak reflectivity at 13.5 nm and a working temperature of 400 °C. Barrier layers were introduced on both interfaces to block thermally induced interdiffusion processes of molybdenum and silicon to provide long-term optical stability of the multilayer at elevated temperatures. A normal-incidence reflectance of more than 40 % at 13.55 nm was measured after heating. After initial annealing at 400 °C for one hour, no degradation of the optical properties of these multilayer coatings occurred during both long-term heating tests for up to 100 hours and multiple annealing cycles. The successful realization of this high-temperature sub-aperture collector mirror represents a major step towards the implementation of the heated collector concept and illustrates the great potential of high-temperature EUV multilayer coatings.
Debris mitigation for EUV sources using directional gas flows
Wouter Soer, Dion Klunder, Maarten van Herpen, et al.
Practical EUV sources not only generate the desired EUV radiation at a wavelength of 13.5 nm but also produce debris that severely limits the lifetime of the collecting optics in the lithographic system. In this paper, we address the possibility of reducing the exposure of the collecting optics to debris by using directional gas flows, focusing particularly on mitigation of ballistic microparticles. The purpose of the gas flow is to change the direction of the particles such that they can subsequently be captured by a foil trap. Two types of gas flows are considered: (i) longitudinal gas flows, i.e. with a flow direction essentially parallel to the velocity of the microparticles, and (ii) transversal gas flows, having a flow direction essentially perpendicular to that of the microparticles. We have conducted contamination experiments using both types of flows in Xe- and Sn-based experimental EUV sources with Ar gas. The experiments show that directional gas flows suppress microparticles in the same way a buffer gas does unless the flow velocity becomes of the same order as the thermal velocity of the gas (~ 102 m/s). A high-speed longitudinal gas flow is expected to be more efficient in thermalizing the microparticles than a stationary buffer gas; this could however not be confirmed due to experimental constraints. Our experiments with a high-speed transversal gas flow show that submicron debris particles can successfully be suppressed by one order of magnitude. A transversal gas flow combined with a suitable foil trap structure may thus present an effective method for mitigation of microparticles.