Proceedings Volume 6035

Microelectronics: Design, Technology, and Packaging II

cover
Proceedings Volume 6035

Microelectronics: Design, Technology, and Packaging II

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 24 January 2006
Contents: 11 Sessions, 57 Papers, 0 Presentations
Conference: Microelectronics, MEMS, and Nanotechnology 2005
Volume Number: 6035

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Keynote Session
  • Process Technologies
  • System on Chip
  • RF and Wireless Circuits
  • Analog and Digital Design
  • Telecommunication Circuits
  • Advanced Devices and Circuits
  • Electronic Materials
  • Modeling and Simulations
  • Sensors and Biosensors
  • Poster Session
Keynote Session
icon_mobile_dropdown
A unique new microtechnology facility for Australia
The Queensland Microtechnology Facility is an initiative of the Queensland Government in conjunction with Griffith University. The Queensland Government through its Smart State Research Facilities Funds (SSRFF) is providing funds for equipment to equip a purpose built building provided by the University. The focus of the Facility is on the application of Silicon Carbide on Silicon semiconductor systems. This is an important feature that enables access to the mature silicon technology at the same time providing access the less mature but very promising SiC technology and its properties. These properties include broad bandgap, thus high voltage and high temperature operations, excellent mobilities, very small leakage currents and high thermal conductivity. The QMF is unique in that it will encourage state of the art research with a commercial bias. It will be equipped with custom built equipment to meet the goals of the Facility. Already there are projects directed toward the exploitation of Silicon Carbide on Silicon Technology being undertaken. This paper provides some background to the planning process associated with the realisation of the QMF.
Process Technologies
icon_mobile_dropdown
The morphology of hydrogenated diamond-like films and the effect of the sp2 fraction disorder on electronic and micro-mechanical properties
Diamond-like carbon is a promising material for MEMS and opto-electronic systems applications. There is a notion that the hybridised sp3 (diamond-like) fraction controls the mechanical properties and the sp2 fraction (graphite-like) determines the electro-optical properties. We investigated amorphous hydrogenated diamond-like carbon (a-C:H) films synthesised using an inductively coupled hydrocarbon plasma reactor under varying bias. The films were characterised using UV Raman, X-ray C1s photoelectron, N-IR spectroscopy, scanning probe microscopy (SPM) and nano-indentation measurements. We found that all examined samples displayed essentially the same amount of the sp3 constituent, whereas the configuration of the sp2 fraction was different. The sp2 fraction of both aromatic rings and olefinic chains in examined films. We found that Tauc gap Et, was controlled by the perturbation of the π tail states of the sp2 fraction. The Tauc gap Et, was determined by N-IR and surface conduction band Eoi, measured by SPM changed inversely with an increase of bias. Results obtained using nanoindentation measurements show that mechanical properties such as hardness and Young's modulus increased with an increase of bias for all films studied. These results indicate that mechanical properties of a-C:H films (hardness and Young's modulus) not only controlled by the amount of the sp3 bonding but also are determined by the degree of the sp2 bonding arrangement.
Thermal wave dopant profiling for phosphorous ion implantation
Kang Hai Lee, Thirumal Thanigaivelan, Kamarulazizi Ibrahim
Thermal wave (TW) thermal-probe is a common metrology tool to monitor implantation conditions. In most cases, TW signals have been used as a relative unit for ion implantation monitoring. Therefore, there is a requirement for a model to correlate the TW signal to ion implant parameters. In this study, a model is presented to address the issue of ion dopant profiling using the thermal wave technology. Study has been done on thermal wave signaling for Phosphorous ion implantation with different implant conditions (such as different implant energy from 70keV to 1800keV, different dose from 9x1013cm-2 to 1.1x1014cm-2, different tilt angle from 2o to 7o, and different beam current from 0.04mA to 0.4mA) The result shows that 7o and 2o 320keV Phosphorous implants have similar implant profiles and TW signals as well. Therefore, TW signal is dependent on dopant profile instead of a function of implant angle, on the basis that same TW signals have the same SIMS profile. Then, the correlation between the TW signals and ion distribution has been studied. A model has been developed for thermal-wave depth profiling that provides expressions for ion range and concentration, where TW = K* Ai (x) ni (x) dx. The model is based on the concept of Thermal wave dampening due to lattice disorder by ion perturbation (Thermal wave is generated when the laser beam strikes the silicon substrate). Factor, Ai (x) is a function of ion range and ni is the ion concentration. The Ai (x) ni (x) dx, component of the TW model represents the dopant profile, while K (function of implant energy, beam current, dosage, and specie) is the damage factor that affects the magnitude of TW signal. In this paper, a simple method for dopant profiling has been demonstrated by using the model.
Fabrication of integrated optic fibre tip for micron CMMs touch trigger probe application
H. Ji, H. Y. Hsu, J. Chua, et al.
In the last decade the general miniaturisation of complex products has lead to an increased importance of high precision machining and assembly. Together with increasing precision of products, the need for highly accurate dimensional inspection increases. CMMs (Coordinate Measuring Machines), as a versatile and widespread dimensional metrology tool, can efficiently perform complex measurement with a resolution of about 0.1μm and a repeatability of about 0.3μm. The existing probes for CMMs tend to be very bulky and result in high probing forces for geometrical measurements of high accuracy on small parts. In this paper, an economical flexible method, which is based on optical fibre splicer, is proposed to fabricate an integrated micro scale silicon probe with spherical tip for micron CMMs. Based on Taguchi method, a combination of optimised process parameters has been obtained to control the fabrication conditions that will ensure the manufacturing of tips of a high and consistent quality. With proper control of the process parameters, an optic fibre probe tip with the diameter dimension in the range of 200 to 400μm is achieved and there is a great potential to fabricate a smaller tip with a diameter of 50-100μm in the future.
Low-loss SPDT metal-to-metal contacts RF MEMS switch operated by electrostatic actuation
T. Seki, Y. Uno, K. Narise, et al.
The diversification of information and communication services has brought a variety of communication devices those incorporate radio communication circuits and require the circuit forming elements to be further microminiaturized and upgraded for higher radio frequency and broadband applications. In this trend, the key components are switching elements. Improving their performance is indispensable in order to realize next-generation information and communication services. RF MEMS technology, which combines 3-D micromachining technology and a high-frequency line design, is highly expected to provide a solution in this respect. The technology field has reached to a practical level and, in fact, a variety of key circuit elements are being proposed. In this paper, we propose a novel Single-Pole-Double-Throw (SPDT) RF MEMS switch design for RF signal applications in the 0.05-10GHz frequency. This new switch is actuated by electrostatic force and restoration force of spring for low power consumption. The Pyrex glass was used as base and cap substrates, and the single crystal silicon was used as movable parts. This device has extremely low insertion loss of -0.5 dB and a high isolation of -30 dB up to 10 GHz. The switch has compact dimensions of 2.8 x 4.8 x 0.9 mm with Chip Scale Package (CSP) structure. The packaging process with glass frit seal is applied to 4-inch processed wafer of RF MEMS switching devices.
System on Chip
icon_mobile_dropdown
The potential of silicon carbide for memory applications: bridging the technological gap between data storage and data processing
This paper demonstrates that electronically passivated Si-SiO2 interface enables the development of memories for both data storage and data processing. Experimental results on charge-retention times are presented to illustrate that the Si DRAMs would become nonvolatile memories if implemented into SiC. The disadvantages of the DRAM cell (1C1T), in terms of limited memory-capacity increase, are discussed to highlight the need for development of superior memory cells.
A real-time asymmetric multiprocessor reconfigurable system-on-chip architecture
Xin Xie, John A. Williams, Neil W. Bergmann
We propose an asymmetric multi-processor SoC architecture, featuring a master CPU running uClinux, and multiple loosely-coupled slave CPUs running real-time threads assigned by the master CPU. Real-time SoC architectures often demand a compromise between a generic platform for different applications, and application-specific customizations to achieve performance requirements. Our proposed architecture offers a generic platform running a conventional embedded operating system providing a traditional software-oriented development approach, while multiple slave CPUs act as a dedicated independent real-time threads execution unit running in parallel of master CPU to achieve performance requirements. In this paper, the architecture is described, including the application / threading development environment. The performance of the architecture with several standard benchmark routines is also analysed.
Dynamic loading of peripherals on reconfigurable system-on-chip
Yi Lu, Neil W. Bergmann, John A. Williams
Most current FPGA-based systems use a single static configuration per FPGA during applications. However, an attractive feature of the FPGA-based Reconfigurable System-on-Chip (rSoC) technology is the ability to dynamically change the configuration on the FPGA according to the requirements of the system, allowing the system to adapt to different environments and applications. In this paper, we describe a self-reconfiguring rSoC system which automatically and dynamically loads peripheral interface controllers, based on the peripherals connected to the system. There are two defined areas on one FPGA chip. A fixed area is used for the constant logic circuits (such as soft-core CPU) and partial reconfiguration (PR) areas are used for changeable peripheral interface controllers. The auto-configuration process involves three different steps: peripheral auto detection, loading of a peripheral hardware interface configuration, and loading of a peripheral software driver.
Energy efficient low power shared-memory Fast Fourier Transform (FFT) processor with dynamic voltage scaling
Reduction of power dissipations in CMOS circuits needs to be addressed for portable battery devices. Selection of appropriate transistor library to minimise leakage current, implementation of low power design architectures, power management implementation, and the choice of chip packaging, all have impact on power dissipation and are important considerations in design and implementation of integrated circuits for low power applications. Energy-efficient architecture is highly desirable for battery operated systems, which operates in a wide variation of operating scenarios. Energy-efficient design aims to reconfigure its own architectures to scale down energy consumption depending upon the throughput and quality requirement. An energy efficient system should be able to decide its minimum power requirements by dynamically scaling its own operating frequency, supply voltage or the threshold voltage according to a variety of operating scenarios. The increasing product demand for application specific integrated circuit or processor for independent portable devices has influenced designers to implement dedicated processors with ultra low power requirements. One of these dedicated processors is a Fast Fourier Transform (FFT) processor, which is widely used in signal processing for numerous applications such as, wireless telecommunication and biomedical applications where the demand for extended battery life is extremely high. This paper presents the design and performance analysis of a low power shared memory FFT processor incorporating dynamic voltage scaling. Dynamic voltage scaling enables power supply scaling into various supply voltage levels. The concept behind the proposed solution is that if the speed of the main logic core can be adjusted according to input load or amount of processor's computation "just enough" to meet the requirement. The design was implemented using 0.12 μm ST-Microelectronic 6-metal layer CMOS dual- process technology in Cadence Analogue Environment.
RF and Wireless Circuits
icon_mobile_dropdown
Emerging issues in millimeter-wave HEMTs for telecommunications
Some aspects of microwave transistor behavior are emerging as significant factors in applications involving increasing signal bandwidths, increasing carrier frequencies, and modulation schemes requiring high linearity. The design of circuits for these applications cannot rely on narrow-band, low frequency, or small-signal assumptions. Instead, a full spectral view of the frequency-dependent high-order nonlinearity of transistors needs to be considered. A paramount performance issue is intermodulation, which is significantly affected by circuit and device properties at base-band frequencies. Any variation in device or circuit behavior that responds to low frequencies is also excited by comparable differences between the frequencies of signal components. An exploration of the dependence of nonlinearity on signal spectra demonstrates the need to consider transistor behavior over all frequencies. To do this for the design of circuits and selection of device technology, accurate models of heating and charge trapping are essential. These require distributed network or sub-first order filters to model them because they impact an extremely large range of frequencies, from dc to many Gigahertz. The behavior of transistors varies with frequency and operating condition. This presents a measurement and characterization issue, which pulse testing coupled with alternative interpretation of RF data can ameliorate. A large-signal model should include trapping and heating and descriptions of nonlinearity that are continuous and consistent with small-signal behavior. The determination of trapping behavior in a closed-form description and its complete characterization are still a challenge.
Modeling, design and simulation of tunable band pass filter using RF MEMS capacitance and transmission line
Shimul Chandra Saha, Ulrik Hanke, Trond Sæther
In this paper we have shown design of a RF MEMS band pass filter. A high capacitance ratio and low actuation voltage RF MEMS shunt switch was designed and modeled the switch as a variable capacitance. The capacitance can be varied as a function of the actuation voltage. The capacitance is modeled as a lumped component, as used in a conventional LC filter. The design uses a novel approach to implement both distributed transmission line and RF MEMS capacitance together to replace the lumped elements. The use of RF MEMS variable capacitance gives the flexibility of tuning the cut-off and center frequency of bandpass filter. In this paper we show a design of 5.8 GHz center frequency band pass filter using the theory of stepped impedance transmission line and MEMS capacitance filter.
Analysis of UHF RFID CMOS rectifier structures and input impedance characteristics
Passive radio frequency identification (RFID) systems deployment efforts are revolutionizing supply chain logistics by providing unprecedented supply chain visibility. The widely used bandwidth of operation in modern systems is the UHF ISM (industrial, scientific, and medical) band. The performance of UHF RFID systems are largely reliant on low power CMOS circuits, efficient power rectification and the ability of RFID label antennas to match to the input impedance of the RFID label IC. This paper examines a new rectifying structure and considers it for its merits in RFID applications while analysing contribution of the rectifying structure to the input impedance of RFID chips as this is an important consideration in impedance matching to an external antenna.
Innovative techniques for extending the range and node limits in Bluetooth-based wireless sensor networks
Wireless networks for sensor applications are required to support an adequate data throughput, range, node density and must consume as little power as possible. The Bluetooth specification has been designed for low power, medium data rate, cable replacement solutions and is therefore useful for wireless sensor networks. However it has a limitation of a maximum number of eight active devices per Bluetooth network (piconet). To be useful in wireless sensor networks a Bluetooth piconet requires a means to communicate to more than the maximum of eight active devices. This paper demonstrates techniques for expanding the usefulness of Bluetooth for wireless sensor networks. This has been done by using multiple access points, sharing the active member addresses of the Bluetooth piconet and utilising multiple piconet and scatternet tree structures. A comparison of existing piconet handoff mechanisms has been conducted and these have been evaluated for feasibility with the available hardware's limitations. Scatternet and piconet sharing mechanisms have been developed that allow a Bluetooth structure to support more than eight devices. These structures have been implemented with existing Bluetooth hardware and are compared via theoretical simulation and experimental results. The developed network of multiple Bluetooth access points combined with the developed Bluetooth structures provides several wireless networks suitable for sensor applications.
Analog and Digital Design
icon_mobile_dropdown
Reduced wire-length and routing complexity for LDPC decoders
Random low-density parity-check (LDPC) codes have been shown to have better performance compared to structured codes because of their better minimum distance and girth. However, random codes result in decoders with large VLSI area and complex routing. The routing complexity is the main limitation for implementing practical fully parallel LDPC decoders. We use reordering sparse-matrix algorithms to reduce the average wire-length and congestion in fully parallel VLSI implementations. Rows and columns of the code matrix are rearranged such that each row/column connection is as close as possible. The restructuring achieves a 15% reduction in average wire-length and 30% in reducing the number of wires across an area. The shape of restructured code is predictable making it possible to develop better routing algorithms for such codes. The shape of the code also simplifies routing in that consecutive rows have almost the same range. Restructuring of the matrix does not change the code matrix and hence does not affect its performance.
Underwater Sensing Platform Network (USPN): A novel smart environmental sensor network
As part of the move to ubiquitous computing, sensor networks are an active topic for academic and commercial research. A variety of sensor network products and applications are appearing in the market. Environmental monitoring is a major application field for sensor networks, and the end users of such applications are generally average disciplinary scientists, i.e. environment researchers or ecologists. However, most current commercial sensor network products need extensive secondary development for adapting to different circumstances. This process, including replacing, adding, configuring and calibrating sensing elements, and configuring the network, is a difficult task for untrained end users. This paper introduces an ongoing project - Underwater Sensing Platform Network (USPN) - being developed at the University of Queensland which focuses on improvement of sensor network usability by providing a truly smart, plug-and-play sensing platform. Our approach is to investigate a novel architecture of a sensing node supporting different sensors and communication channels based on plug and play functionality to simplify the building and configuring process and to reduce the complexity, time and cost for deploying an environmental sensor network. The paper will describe both the system specification for the USPN plug-and-play marine sensor system, plus an initial system design.
Static design methodology dedicated to low power analog circuits
François Rudolff, Fabrice Guigues, Edith Kussener
This paper presents a static design methodology dedicated to ultra low power (Vdd < 1V ) analog circuits. This methodology based on the EKV 2.0 MOS model, tuned with non uniform substrate doping correction and rigorous derivation of the EKV 3.0 MOS model, provides continuous over inversion levels (weak - moderate - strong inversion) equations in conduction as in saturation modes. Fundamental parasitic effects such as mobility reduction due to vertical field are therefore integrated with highlights of newer EKV versions. Furthermore, this methodology provides a tool for integrating easily this improvement during conception phase. A chart links the normalization current IS to the gate voltage VG and the pinch off voltage VP , allows the use of EKV 2.0 tuned formulas for designing analog circuits without inversion level constraint. It results in a good accuracy over all regions of MOS operation, including moderate inversion where neither weak-inversion nor traditional strong inversion MOS hand analysis is applicable. Starting from proposed equations, or standard asymptotes when strong constraints of weak/strong inversion are imposed, the use of a mathematical computing software results in the creation of wholes of solutions which describe completely the design. It is thus possible to make a complete study of a static circuit, independent of inversion level so as to make optimum design. Supply voltage and silicium area can thus be unambiguously optimized, without risk of running in circle as in "traditional" approaches. As an example, the self cascode PTAT voltage reference is studied with the methodology.
Telecommunication Circuits
icon_mobile_dropdown
VLSI circuit and systems for microphotonic applications
S. Lachowicz, A. Rassau, C. Kim, et al.
This paper describes various VLSI systems for microphotonic applications. The first project investigates an optimum phase design implementing a multi phase Opto-ULSI processor for multi-function capable optical networks. This research is oriented around the initial development of an 8 phase Opto-ULSI processor that implements a Beam Steering (BS) Opto-ULSI processor (OUP) for integrated intelligent photonic system (IIPS), while investigating the optimal phase characteristics and developing compensation for the nonlinearity of liquid crystal. The second part provides an insight into realisation of a novel 3-D configurable chip based on "sea-of-pixels" architecture, which is highly suitable for applications in multimedia systems as well as for computation of coefficients for generation of holograms required in optical switches. The paper explores strategies for implementation of distributed primitives for arithmetic processing. This entails optimisation of basic cells that would allow using these primitives as part of a 3-D "sea-of-pixel" configurable processing array. The concept of 3-D Soft-Chip Technology (SCT) entails integration of "Soft-Processing Circuits" with "Soft-Configurable Circuits", which effectively manipulates hardware primitives through vertical integration of control and data. Thus the notion of 3-D Soft-Chip emerges as a new design paradigm for content-rich multimedia, telecommunication and photonic-based networking system applications. Combined with the effective manipulation of configurable hardware arithmetic primitives, highly efficient and powerful soft configurable processing systems can be realized.
Low cost mm-wave Doppler/FMCW transceivers for ground surveillance applications
H. J. Hansen, R. W. Lindop, D. Majstorovic
A 35 GHz Doppler CW/FMCW transceiver (Equivalent Radiated Power ERP=30dBm) has been assembled and its operation described. Both instantaneous beat signals (relating to range in FMCW mode) and Doppler signals (relating to targets moving at ~1.5 ms-1) exhibit audio frequencies. Consequently, the radar processing is provided by laptop PC using its inbuilt video-audio media system with appropriate MathWorks software. The implications of radar-on-chip developments are addressed.
Matched filter design optimisation for UWB receiver for sensor network application
Ultra Wideband (UWB) communications is one of the possible solutions for future wireless personal area network (WPAN) applications. The Federal Communications Commission (FCC), in the USA, allocated 7.5 GHz of unlicensed frequency bandwidth from 3.1 GHz to 10.6 GHz for UWB communication. It is an available spectrum which can be utilised for data communication using different technologies complying with FCC regulations. This paper presents a brief overview of the world wide regulations and Institute of Electrical and Electronic Engineers (IEEE) standardisation updates for UWB. It also focuses on the wireless sensor network application and the use of UWB communications in biomedical sensor networks. The paper aims at the design and implementation of an optimised pulsed matched filter (OPMF) used in the digital backend of a UWB radio. The optimisations are performed at the architectural and circuit level in order to reduce hardware complexity and reduced power. The OPMF is successfully implemented using the application specific integrated circuit (ASIC) design methodology and the results are compared with those obtained in previous implementation. The OPMF implementation presented in this paper yields improved characteristics such as reduction in area, almost 25% power reduction and better timing.
Advanced Devices and Circuits
icon_mobile_dropdown
Photovoltaic effect of ferroelectric PLZT in a layered and preferentially oriented film
Masaaki Ichiki, Harumi Furue, Takeshi Kobayashi, et al.
Photovoltaic (Pb,La)(Zr,Ti)O3 (PLZT) films in a layered structure of different crystallographic orientations are fabricated by an optimized metalorganic deposition (MOD) method. Such films of (001) orientation exhibit a photovoltaic electrical power of approximately 20 times higher than that of random films. The anisotropic optical properties of the oriented films, including dark conductivity, photoconductivity and photovoltaic tensor surfaces, are obtained quantitatively. These results show that the photovoltaic output current and power of the oriented films are highly improved to be equal to those of semiconductors and suitable for application in the optical sensor of micro-electro-mechanical systems (MEMS).
Design and implementation of a highly efficient piezoelectric power harvesting and vibration damping system
Stephen van der Velden, Ian Powlesland, Scott Moss, et al.
Over the last few years, piezoelectric elements have gained popularity as a convenient and relatively inexpensive interface between the electrical and mechanical domains of power harvesting and vibration damping systems. Power harvesting is commonly performed by placing a bridge rectifier across the piezoelectric element and feeding the output into a capacitor and matched load, in much the same manner as used in a standard power supply circuit. However, the overall efficiency of the electrical power harvesting system using this approach can be quite low. Therefore, there is a continued search for circuit architectures and techniques to enhance the efficiency and performance of such systems. It is shown that using piezoelectric devices for electrical power harvesting is closely related to vibration damping using the same devices. This paper proposes that focusing on the reflected mechanical power could produce more efficient systems than focusing on electrical power transfer alone. In exploring this proposition an attempt was made to identify important parameters in the design of such systems. This exploration has demonstrated the importance of maximizing the voltage across the piezoelectric element as the primary means of maximizing the reflected mechanical power. Complexity and cost are often issues when operating piezoelectric devices at high voltages, which led to the development of a relatively simple charge polarity reversal mechanism. Such a mechanism has been demonstrated to improve the efficiency of energy harvesting and/or vibration damping. Simulation of this concept shows a substantial improvement over the bridge rectifier concept. Whilst the magnitude of improvement is dependent on how high the voltage across the piezoelectric element can be raised, the scenario shown in detail gives an improvement of approximately two orders of magnitude.
The effect of barrier shape on thermionic refrigerator performance
M. F. O'Dwyer, T. E. Humphrey, R. A. Lewis, et al.
We consider the effect that the barrier shape has on the electron energy spectrum and lattice thermal conductivity, and together the effect of these coefficient of performance of thermionic refrigerators. Whilst it is shown that wide barriers are also desirable to enhance the electron energy spectrum, the primary motivation to increase barrier width to the maximum allowable value with ballistic transport is to reduce thermal conductivity. It is shown that the barriers which produce the highest electronic coefficient of performance do not necessarily give the highest coefficient of performance when thermal conductivity is considered if electronic heat current is reduced. While mean free path length multibarrier geometries may offer reduced thermal conductivity due to the possibility of interface scattering and phonon miniband formation, this effect needs to be significant to achieve coefficient of performance comparable with a single barrier device. Finally, we show that maximum refrigerator coefficient of performance is achieved by transmitting electrons over a tuned energy range only, which may be approximated by the transmission probability associated with a Gaussian modulated superlattice.
Micro hot embossed plastic millimeter-wave systems
Ying Cai, Firas Sammoura, Chen-Yu Chi, et al.
Recently, millimeter-wave devices have been attracted more attentions in applications owing to their short wavelengths, higher resolutions, broader bandwidths and higher environmental tolerance. The great challenges of high fabrication and assembly costs, bulky volumes, and heavy weights of millimeter-wave systems call for new integrated manufacturing techniques. The hot embossing technique could address these challenges. In this paper, a review of micro plastic hot embossing was given for the fabrication of miniaturized millimeter-wave systems. The micro hot embossing on plastic materials demonstrated its advantages on significant costs, volume and weight reduction, while maintaining high performances. We have designed, fabricated and characterized a W-band rectangular waveguide and a W-band iris waveguide filter with integrated plastic flanges using micro hot embossing and selective electroplating. In this paper, we reviewed the results and discussed the design methodology in details for the micromachined components. The prototype devices showed promise for the system designs.
Electronic Materials
icon_mobile_dropdown
Characterizing charge trapping in microwave transistors
This paper looks at the problems associated with pulsed testing of GaN and GaAs HEMTs and its use in examining the charging and discharging times of the various traps that affect conduction. A particular problem is that the RF behaviour of these transistors varies with the state of charge of the traps. This is a concern for a large class of applications where the usage pattern is comparable to the time constants of the traps. Such classes include the intermittently-switched front ends of 802.11 and mobile telephone circuits. The conventional approach with pulsed testing is to sit at a bias point for a sufficiently long time and then to pulse to characteristic voltages very quickly before returning to the bias. If the pulsing to the applied characteristic voltages is much faster than the time constants of the traps of the transistor, then the characteristic measured will reflect the state of charge of the traps for the bias point. Our approach here is to perform a series of characteristic measurements as the bias and trap charge-state change. Each characteristic is measured too quickly to affect the trapped charge significantly. The set of characteristics then reflects the changing nature of the transistor's bias and state of charge of its traps.
Improved contact resistance stability in a MEMS separable electrical connector
A MEMS in-line separable electrical connector with improved contact resistance stability to thermal fluctuations and mating cycles is described. The design allows sliding, in-line connection between separate halves, inducing vertical deflections on a set of flexible conductors to establish stable electrical contacts. Features are present on both halves to ensure precise lateral and vertical self-alignment; preventing shorts and maintaining consistent conductor deflections. Characterisation on early prototypes revealed significant variability in contact resistance with thermal fluctuations and mating cycle history. As flexible conductors are multi-layered structures of Au supported by a thick structural layer of Ni, they undergo differential thermal expansion, introducing variability in contact resistance with temperature. Sliding contact wear during repeated mating leads to removal of portions of the Au surface coating, and electrical contact between underlying (non-noble) Ni layers. By using a harder Co-Au alloy as the contact surface layer and modifying the arrangement of constituent conductor layers to balance thermal stresses, improvements to both wear and thermal tolerance of contact resistance can be obtained. Devices implementing the above design modifications show stable contact resistance over 100 mating cycles and an increase in contact resistance of between 3.5 and 7% over a temperature rise of 60°C. The electrical performance improvements increase the attractiveness of the MEMS in-line separable connector concept for applications in portable electronics and MEMS integration.
Specific contact resistivity of Al-NiSi contacts using Cross Kelvin Resistor test structure chains
Anthony S. Holland, Madhu Bhaskaran, Sharath Sriram, et al.
Silicide contacts are used in semiconductor devices because of their relatively low sheet resistance as thin films and because they form contacts with relatively low values of specific contact resistivity leading overall to low values of contact resistance. Determining the true values of the specific contact resistivity of metal-to-silicide interfaces is a challenge that requires suitable test structures. The Cross Kelvin Resistor (CKR) structure is a commonly used test structure for the extraction of the specific contact resistance of ohmic contacts. Analysis using this structure has errors associated with it and the challenge is often in determining this error. This paper demonstrates a technique that uses several Cross Kelvin Resistor structures connected in a chain and determines the specific contact resistance of aluminium to nickel silicide contacts using extrapolation rather than determining the error. The formation of the nickel silicide films and the fabrication and testing results for the Cross Kelvin Resistor structures are presented.
Modeling and Simulations
icon_mobile_dropdown
Sensitivity to the onset of microfluidic slip in a microchannel
Renate Sitte, Jan Westphal
This paper presents a systematic analysis of the sensitivity of design parameters of a microchannel to the onset of the slip effect. This is motivated because design geometries of microsystems cannot be simply downscaled, because at small dimensions inertia and gravity do not necessarily remain the dominant forces, and the behavior of the microsystem to be designed changes. This is particularly important in the design of MEMS involving fluids, where friction in the form of an inversion layer becomes effective. While such characteristics are often exploited in clever design, it is not always known when such effects take place, because they are be the consequence of different combinations of parameters, often represented implicitly as a single quantity: the Reynolds number. However the Reynolds number on its own cannot be controlled, it does not tell us about the sensitivity of the parameters. In our endeavor of developing fast models that are suitable for interactive CAD VR we are interested in the conditions that mark the onset of the dominance of one physical effect over another. To this end we have initiated a systematic set of experiments to calculate the fluid flow for a square and round channel in a systematic way by changing systematically the critical parameters (channel length, side length or diameter, and pressure) including the calculation of the slip effect as an additional term that allows seeing in which combinations it becomes effective.
Efficient simplification strategies for symbolic circuit expressions of linear analog integrated circuits
Balavelan Thanigaivelan, Adam Postula, Yong Ding
Analog circuit design is a very knowledge-intensive process, which heavily relies on the designer's understanding of circuit's behaviour. Symbolic Circuit Analysis gives the designer an additional insight and helps to gain a better understanding of circuit functionality. Moreover Symbolic Analysis plays a major role in analog circuit design automation process and is complementary to the numerical (SPICE) circuit simulation. Therefore automatic generation of symbolic circuit expressions or models has currently become a topic of heightened interest. The main difficulty of symbolic circuit analysis is that: the number of product terms in a symbolic expression increases exponentially with the size of the circuit. In order to handle circuits of large sizes several simplification and approximation strategies have been suggested in the literature, but the interpretability of the derived expressions has received insufficient attention from the symbolic analysis community. Symbolic expressions of relatively small circuits are not in readable format even for experienced designers, besides being complex for classroom teaching. This paper presents efficient strategies that can be adopted while simplifying large symbolic circuit expressions, aimed at preserving the accuracy and interpretability of circuit expressions better. The goal is to show that exploiting certain information from the device model and taking Miller effect of feedback elements into consideration will result in more meaningful simple expressions in nested formats that can be easily expanded as well. The strategies suggested in this paper are illustrated with different practical MOS and bipolar circuit examples, with emphasis on the compactness and interpretability of the circuit expressions.
Scaling effects on deep-submicron vertical MOSFETs
Vertical MOSFETs are gaining importance for VLSI circuit integration and for reducing the feature size. They are continuously scaled down in channel length due to the increasing need for higher packing density and higher device speed. Also 3D compaction of circuits is possible using these transistors. In order to achieve as dense and fast as possible circuits several vertical MOSFETs using different technologies have been fabricated. In this paper, 120nm vertical n-channel MOSFET uniformly doped in silicon substrate and channel region is simulated using the ISE_TCAD software, developed by the Integrated Systems Engineering and compared with one of similar fabricated transistors from the literature [4]. The results show more than 92% match between the simulated and the practical devices in terms of terminal characteristics considering the fact that the ideal mobility models as well as the most suitable mesh condition are applied to the simulation flow. Tending to scale down the length of the vertical MOSFETs and observe the short channel effects, transistors with 80nm and 100nm channel length were also simulated. As expected, shrinking the channel length results in increasing the current and decreasing the threshold voltage as part of short channel effects. Other effects such as hot-carrier and substrate current for the three devices were investigated under the certain values of gate and source voltages.
Modelling and analysis of fringing and metal thickness effects in MEMS parallel plate capacitors
Kriyang Shah, Jugdutt Singh, Aladin Zayegh
This paper presents a detailed design and analysis of fringing and metal thickness effects in a Micro Electro Mechanical System (MEMS) parallel plate capacitor. MEMS capacitor is one of the widely deployed components into various applications such are pressure sensor, accelerometers, Voltage Controlled Oscillator's (VCO's) and other tuning circuits. The advantages of MEMS capacitor are miniaturisation, integration with optics, low power consumption and high quality factor for RF circuits. Parallel plate capacitor models found in literature are discussed and the best suitable model for MEMS capacitors is presented. From the equations presented it is found that fringing filed and metal thickness have logarithmic effects on capacitance and depend on width of parallel plates, distance between them and thickness of metal plates. From this analysis a precise model of a MEMS parallel plate capacitor is developed which incorporates the effects of fringing fields and metal thickness. A parallel plate MEMS capacitor has been implemented using Coventor design suite. Finite Element Method (FEM) analysis in Coventorware design suite has been performed to verify the accuracy of the proposed model for suitable range of dimensions for MEMS capacitor Simulations and analysis show that the error between the designed and the simulated values of MEMS capacitor is significantly reduced. Application of the modified model for computing capacitance of a combed device shows that the designed values greatly differ from simulated results noticeably from 1.0339pF to 1.3171pF in case of fringed devices.
Knowledge-based process simulation and design system for MEMS
A design model representing the relations between application specific fabrication processes and the structural design flow will be presented. Subsequently a MEMS process design, simulation and tracking system, called PROMENADE, is introduced. It allows the specification of processes for specific applications, the simulation and the tracking of the development procedures.
Sensors and Biosensors
icon_mobile_dropdown
Smart integrated sensor networks for the marine environment
Francesco Grimaccia, Alessandro Gandelli, Ron W. Johnstone, et al.
The sustainable management of coastal and offshore ecosystems, such as coral reef environments, requires the collection of accurate data across various temporal and spatial scales. Accordingly, monitoring systems are seen as central tools for ecosystem-based environmental management, helping on one hand to accurately describe the water column and substrate biophysical properties, and on the other hand to correctly steer sustainability policies by providing timely and useful information to decision-makers. A robust and intelligent sensor network that can adjust and be adapted to different and changing environmental or management demands would revolutionize our capacity to wove accurately model, predict, and manage human impacts on our coastal, marine, and other environments. Underwater measurements are greatly influenced by environmental conditions; especially in shallow waters. Temperature, salinity, turbidity, oxygen, pH and many other parameters still need optimization due to the difficulty in performing the process in situ in such an environment. Notably however, modern developments in wireless network technology and miniaturization now make it possible to realistically monitor the aquatic environment in situ using smart devices that are completely autonomous. However, to successfully use these kinds of systems in under water environments it is necessary from the outset to define the specific requirements and relative hindrances that such a field imposes; especially in terms of underwater information exchange. The aim of this paper is to examine these issues and to propose strategies for the cost effective and scientifically robust integration of remote sensor network technologies for the monitoring and management of critical marine environments such as coral reefs.
Sensitivity analysis of cantilever biosensors
S. N. Fernando, M. W. Austin, J. P. Chaffey
Microcantilever sensors are commonly used as chemical and biological sensors. Interactions between the functionalisation layer on the cantilever and the analytes in the sample cause the cantilevers to bend. When the analyte concentration is low, these interactions are localized. Then, the same concentration can cause different deflections, depending upon the locations of interactions. The deflections will thus depend on the location of interaction, as well as the concentration of the analyte. This paper presents a model to calculate the deflection, when uni-axial surface stresses are distributed and localized. Results of this model are compared with finite element method simulation results. Biaxial stresses are then considered, and the one dimensional model is shown to be a valid approximation when the stresses are not applied at the ends. Using the model, characteristic response curves of a cantilever, when the surface stresses are localized, are obtained. The probability of determining a concentration based on an observed deflection is shown to be as low as 20%.
An embedded wireless sensor network at 433 MHz for agricultural applications
Daniel A. James, Justin Channells, Neeli MadhusudanRao, et al.
The use of environmental sensors in agriculture and precision agriculture applications is becoming more common, although implementation strategies and capital costs prohibit widespread adoption by many in the industry. Typical costs for agricultural monitoring systems can be in the tens of thousands of dollars per site. This paper presents low cost, wireless sensor nodes and a corresponding low power network. The nodes use biodegradable plastic to house the sensor, support electronics, RF transceiver and a 433 MHz antenna. In this paper the antenna design and network topology is discussed together with the propagation problems associated with a field environment in which the vegetation changes weekly. It is envisaged that such a platform could be ploughed in to the field at the end of its working life. The total cost of construction of the prototype platform is approximately $US10 per sensor. A communication protocol was also developed to allow many of these devices to be installed simultaneously and for the transmission of collected data and dynamic configuration and reprogramming. A receiver system allows for the collation and presentation of collected data. Low cost soil moisture sensors were coupled to the platform and installed in a commercial nursery wholesaler. Field trials of the network were successfully conducted.
Comparative study of surface acoustic wave based hydrogen sensors with: InOx/SiNx/36° YX LiTaO3 structure
Alexandru C. Fechete, Wojtek Wlodarski, Kourosh Kalantar-zadeh, et al.
Layered Surface Acoustic Wave (SAW) based sensors with: InOx / SiNx / 36° YX LiTaO3 structure were developed for sensing different hydrogen (H2) concentrations between 0.06% (600ppm) and 1% H2 in synthetic air. This paper presents a comparative study of the sensors performances in terms of response time, recovery time and response magnitude as a function of operational temperature. The SAW devices consist of metal interdigitated electrodes fabricated on lithium tantalate (LiTaO3) piezoelectric substrate forming the input and output Interdigital Transducers (IDTs). A 1 μm thick silicon nitride (SiNx) intermediate layer was deposited over these finger pairs, either by Plasma Enhanced Chemical Vapour Deposition (PECVD) or by r.f. magnetron sputtering. A 100 nm thin film of indium oxide (InOx) deposited by r.f. magnetron sputtering provides the selectivity towards hydrogen. The highest sensitivity for the sensor with r.f. magnetron sputtered SiNx intermediate layer was recorded at 190° C, when the frequency shift of 361 KHz for 1% H2 in synthetic air was recorded. However larger responses were obtained for the sensor with the PECVD SiNx intermediate layer at 290° C, when the large frequency shift of 516 KHz was recorded for the same H2 concentration. Microstructural characterization of the InOx and SiNx films by Atomic Force Microscopy (AFM) and X-Ray Photoelectron Spectroscopy (XPS) is also presented.
A new investigation of high-frequency thermopile response
Shu-Jung Chen, Chih-Hsiung Shen
In this paper, we present a complete electrothermal study of a micromachined active thermopile for frequency and transient response. The work has been carried out combining Fourier, Laplace transfromtion with the experimental measurements and finally give a electrothermal modeling. Device parameters of thermal microsensors are essential for evaluating the sensor performances and their simulation modeling. A considerable number of measurements for microsensors and system characterizations rely on the analysis of its step response. The behavior on spectrum domain and time domain are predicted and been proved by our experiments. A new investigation of high frequency response for CMOS compatible thermoelectric infrared sensors is proposed and fabricated. The sensors are fabricated by an 1.2 μm industrial CMOS IC technologies combined with a subsequent anisotropic front-side etching stop. It consists of a heating polysilicon resistor and an Al / n-polysilicon thermopile, embedded in an oxide/nitride membrane. High frequency response of test sample shows unexpected large signal, which is quite interesting and never reported before. To analyze the transient response, we build an electrothermal model for our test thermopile. The equivalent electrical circuitry has been built to simulate the operation of micromachined thermopile when radiation power comes. We have made a thoroughly measurement and analysis, and given some interesting results.
Poster Session
icon_mobile_dropdown
An intelligent data processing system for a wireless sensor node for health care application
This paper presents the design and implementation of an intelligent data processing system for a wireless sensor node for healthcare application. The data processing system comprises of front-end sensors and a data acquisition (DAQ) system for signal processing. A smart property for the system has been developed so that it automatically selects the optimum method to 'condition' the biosignals, depending on the input channel requirements for better system accuracy. Moreover, it correspondingly selects an optimal sampling speed for each input channel to reduce the system power consumption, data storage and cost. Results show that a 47% reduction in power consumption is achieved and the aliasing error is reduced by 31% when the smart data processing architecture is used instead of traditional fix-rate data processing system.
Study of single-chip silicon micromachined microphones
Lu-Lee Liao, Wen-Chieh Wei, Hong-Ching Her, et al.
A single-chip micromachined microphone is proposed to meet the requirement of small size, high performance, and low cost. It consists of a rigid perforated backplate, a floating diaphragm, air gaps, an acoustic chamber, and a silicon substrate. The simply supported diaphragm can be achieved by using two sacrificial layers. The sacrificial material is phosphor-silicate glass, and sacrificial layers are etched away to form the air gaps. The KOH etching solution is used to fabricate the acoustic chamber in pyramidal shape. The simply supported diaphragm has the larger mechanical compliance than that of clamped diaphragm. The electro-acoustical sensitivity of the simply supported structure has at least 5.72 times larger than that of clamped structure. Although there are many parameters can increase electro-acoustical sensitivity, the simply supported diaphragm is one of the most effective approaches. Bias voltage can be used to increase sensitivity, and it creates the electrostatic force on the diaphragm. The dominative parameter of diaphragm deflections changes from sound pressure to the electrostatic force, when bias voltage is larger than 2.3 V. A microbeam is used to support a floating diaphragm, and the microbeam determines the resonance modes. The natural resonance frequency should locate outside the telephony band. When the width of the microbeam is small, the lateral vibration will appear early and result in the natural resonance frequency.
Design and optimisation of power rectifiers for passive RFID systems in monolithic CMOS circuit
In this paper, we present and analyze the most fundamental constraint of RFID systems, power rectification. This issue plays an important role in development of long-range RFID systems. Rectifiers are the key components in power rectifications and efficiency of an RFID system. Therefore this paper is concentrated in investigating this major issue. To tackle this problem a novel Schottky Barrier Diode (SBD) has been proposed. The proposed SBD provides good power conversion rate and switching properties.
Subthreshold defect generation by intense electron beams in semiconductors for microelectronics
M. Ghoranneviss, A. H. Sari, M. H. Hantehzadeh, et al.
Conversion of n- into p-conducting silicon by electron bombardment was first observed with subthreshold intense electron beams of 75 keV energy and confirmed later by several groups. These results received attention again in view of microelectronics and nanotechnology with respect to the shorter than optical focusing conditions for electrons. In order to continue these studies with subthreshold defect generation we report on experiments with optical detection of the changes as observed before in silicon together with the changes from n- into p- conductivity. Further interest is in production of very low cost solar cells from conducting polymers like polyacetylene and avoiding chemical methods leading to formation of highly aggressive waste. The near band gap generation of defects by electron irradiation has been detected in optical absorption spectra. We aim to analyze the stability of the generated defects in view of crystal defects or dangling bond generation in the semiconductors.
Behavioral modeling and simulation of RF LNA with VHDL-AMS
High-level RF design based on behaviorally described models allows the evaluation of the performance of the architecture and system at an early stage. It also serves as the top-down design methodology in a familiar circuit design environment. VHDL-AMS supports the time and frequency domain modeling and noise modeling which make the RF circuit and system modeling in VHDL-AMS possible. In this paper we represent a methodology to design and optimize RF LNA in VHDL-AMS to get a RF LNA model with flexible specification input and high fidelity to noise and nonlinearity issues. Some of the challenges encountered in the behavioral modeling and simulation of RF LNA will be discussed. Typical RF LNA characteristics, such as noise figure and nonlinearity are addressed.
Collaborative signal processing framework and algorithms for targets tracking in wireless sensor networks
Hui Ma, Brian Ng
A wireless sensor network (WSN) is a collection of a large number of sensor nodes which can be networked and deployed in various applications. The representative application is tracking moving target. However, due to the unique characteristics of wireless sensor networks, there are considerable challenges in designing target tracking algorithms in these networks. This paper describes the development of collaborative signal processing techniques for targets tracking in wireless sensor networks. Based on the investigation of principles underlying target tracking in wireless sensor networks, a collaborative signal processing framework is proposed. Within this framework, various tracking algorithms have been developed. The details of the tracking algorithms and the simulation results are presented in this paper.
Transient SPICE model for trap related current lag in devices
Traps at the surface of devices cause gate lag, which is the delayed response of drain current to a step change of gate voltage. The effect of gate lag limits the performance of devices and integrated circuits such as digital circuits, digital radio systems. The manifestation of gate lag in the frequency domain is transconductance and drain conductance dispersion. Gate lag is usually caused by surface hole trapping. Traps at the surface are charged negatively during turn-off of the devices. The negative charge turns the device further off. After turnon, these negative charges decay by means of capturing holes, which turns the device further on. The finite time related to trap charging and discharging results in gate lag. Pulsed measurements have been carried out to observe and measure this current lag in HEMT devices. Drain voltage has been found to have significant effect on the time constant of carrier trapping. Prior knowledge of the lag enables one to modify the design and selecting proper bias point for a specific application. For this purpose, a SPICE model has been developed to simulate gate lag in devices. The time lag is modelled by an RC time constant. Depending on the drain voltage, this time constant changes from 10ms to 1us. The model also predicts the transient gate current for gate voltage going down towards pinch-off. The model has further been tested for near half the saturated drain current. Two-tone intermodulation simulation is being investigated with the model.
Fabrication techniques and RF performances of transmission lines on polymer substrates
The advantages of polymers have made them popular choices in many micro device applications. The benefits of low material and fabrication costs have been demonstrated in many micro-fluidic devices. The low conductivities and low dielectric constants of polymers provide potentials for high quality-factor RF MEMS applications. Nevertheless, the extension of using polymers for electronic components has not been well explored. In this work, we investigated the fabrication processes and RF performances of coplanar waveguide (CPW) transmission lines, with which many RF MEMS phase shifters, tuners, switches and interconnects are built, on polymer dielectric layers. In order to achieve optimum results, the CPW transmission lines were fabricated on benzocyclobutene (BCB), kapton and polyimide polymers. Our experimental results indicated very low insertion losses of CPW transmission lines with BCB as a dielectric layer and with kapton as substrate, and a moderate insertion loss with polyimide as a dielectric layer.
Design and simulation of an ultrasonic transducer
This paper presents a novel ultrasonic transducer which can be used as a liquid ejector to release drug. The ultrasonic transducer is based on the design of a flextensional transducer, which is composed of interdigital piezoelectric rings and a vibration membrane. The device works at an axisymmetric resonant mode to produce maximum amplitude at the center of the vibration membrane in axial direction. For the usage of multi piezoelectric rings, the flexural plate waves can be generated by applying two out-of-phase signals. The power consumption is of primary importance in the design of this device and the usage of single-ring or multi-ring piezoelectric material instead of bulk piezo material can therefore reduce the power consumption. An optimum working frequency, at which least power is required by the device, can be found by the piezoelectric, coupled field capability of the ANSYS/Multiphysics product.
Conventional and total momentum filtered thermionic devices
M. F. O'Dwyer, T. E. Humphrey, R. A. Lewis, et al.
Conventional solid-state and vacuum thermionic devices restrict the flow of electrons between the hot and cold reservoirs according to the magnitude of their momentum in the direction of transport only. Recently it has been suggested that devices may be developed where the filtering of transmitted electrons occurs according to their total momentum. We compare the performance of these two different methods of electron momentum filtering in single barrier and resonant tunneling thermionic refrigeration devices. It is shown that total momentum filtered single barrier refrigerators always outperform conventional single barrier refrigerators due to their larger heat current which is particularly important when the thermal conductivity of the system is significant. We show that whilst conventionally filtered resonant tunneling thermionic refrigerators are outperformed by total momentum resonant tunneling thermionic refrigerators in many conditions, their performance is superior at (1) high temperatures or (2) when the transmission energy is very close to the Fermi energy.
Characterising HEMT devices for MMIC distortion prediction
The use of GaAs high electron mobility transistors (HEMTs) in monolithic microwave integrated circuits (MMICs) presents significant challenges to modelling intermodulation distortion performance. It is important that the distortion can be accurately simulated, to avoid the cost of prototyping MMICs and to meet the increasingly stringent low distortion requirements of modern communication system standards. This necessitates the careful consideration of the method used to characterise and extract models from HEMTs. The challenge is to provide a high-order nonlinear model that is accurate over a full spectrum of frequencies. This paper presents measurements of the complicated behavior of HEMT devices. Third-order distortion is seen to sometimes depend on higher-order nonlinearity. In addition, the HEMTs exhibit dispersion in their drain current characteristics up to GHz frequencies due to anomalous effects such as semiconductor charge trapping. Methods to characterise HEMTs are discussed and their applicability is pointed out. In particular, a characterisation method for HEMTs should be able to extract nonlinearities up to a sufficiently high order for the required application, and thus should have high dynamic range. In addition to magnitude, it should be able to measure phase information. It is important that the measurements can be performed at frequencies higher than those affected by dispersion, and high enough that device reactive nonlinearities can be measured. The HEMTs are characterised using a number of methods to show the importance of these considerations.
Design and characteristics of L-C thin films filter at microwave frequency band
Multifunction corresponding to multimedia age has furthermore required high integration to the devices at microwave band, so more evolution for multi-layer integration like system on chip(SoC) becomes to be necessary. In wireless mobile communication, portable mobile phones grew up to a huge market. Microwave devices have played an important role in the wireless communication systems. One challenge in the implementation of circuit integration is in the design of micro wave band pass filter with thin film MOM capacitor and spiral inductor. In this paper, Cu and TaO thin film with RF sputtering was deposited for inductor and capacitor on the SiO2/Si(100) substrate. MIM capacitor and spiral inductor was fabricated for L-C band pass filter by sputtering and lift-off. We are analyzed and designed thin films L-C passive components for band pass filter at 900 MHz and 1.8 GHz, an important devices for mobile communication. Based on the high-Q values of passive components, MIM capacitor and spiral inductors for L-C band pass filter, a low insertion loss of L-C passive components can be realized with a minimized chip area. The insertion loss was 3 dB for a 1.8 GHz filter, and was 5 dB for a 900 MHz filter. This paper also discusses a theoretical analysis and practical design to L-C band pass filter.
Modulation recognition for real HF signals
High-frequency (HF) communications is undergoing resurgence despite advances in long-range satellite communication systems. Defense agencies are using the HF spectrum for backup communications, as well as for spectrum surveillance applications. Spectrum management organizations are monitoring the HF spectrum to control and enforce licensing. These activities usually require systems capable of determining the location of a source of transmissions, separating valid signals from interference and noise, and recognizing signal modulation. Our ultimate aim is to develop robust modulation recognition algorithms for real HF signals, that is, signals propagating by multiple ionospheric modes with cochannel signals and non-Gaussian noise. One aspect of modulation recognition is the extraction of signal identifying features. This paper continues our work of applying various feature parameters to real HF signals and gives guidance on which features show potential for use in robust recognition of HF modulation types in the presence of HF noise and multi-path. It also defines a measure of mean separation distance between modulation types based on an entropy parameter, and discusses the probability density function of HF noise.
Local-strain effect of the SiN/Si stacking and nano-scale triple gate Si/SiGe MOS transistor
C. H. Chang, C. Y. Chou, C. N. Han, et al.
The tensile strained Si, based on the lattice misfit between Si and SiGe, gives higher speed and higher drive current for the metal oxide silicon field effect transistors. Based on the strained Si technology, a tri-gate CMOS transistor is further applied in the current leakage control and chip performance enhancement. Moreover, the "highly-tensile" silicon nitride capping layer is also applied for the strained Si applications. The stress from the silicon nitride capping layer is uniaxially transferred to the NMOS channel through the source-drain region to create tensile strain in NMOS channel. This paper proposes a finite element method analysis to study the strain distribution of small island size (<200nm) of Si/SiGe strained silicon based tri-gate CMOS transistor and the "highly-tensile" SiNx/Si stacking devices. In the tri-gate CMOS transistor case, the simulation results show that the bending effect from the edge can significantly affect the strain on the surface of the Si channel layer, and a compressive strain or reduced tensile strain occurs at the edge of the Si channel layer. Moreover, the results also indicate that the length of the Si/SiGe channel and the thickness of the Si/SiGe stack layers show significant effects of the strain distribution on the surface of the Si channel layer. In terms of the "highly-tensile" SiNx/Si analysis, the results show that the "highly-tensile" silicon nitride could provide beneficial tensile strain for the channel of the NMOS transistor to enhance the device speed.
Design considerations of reconfigurable antennas using MEMS switches
In this paper, reconfigurable antenna design consideration is discussed. The antenna design constrains are base on the use of radio frequency microelectromechanical system (RF MEMS) switches. The design consideration includes practical issues in using the switches to either change the antenna feeding networks or change the antenna topologies. In the first design, a coplanar waveguide (CPW)-to-microstripline transition technique is used to integrate the small switches onto the antenna feeding networks to achieve beam steering. In the second design, the switches are used to change the antenna ground plane topology to achieve frequency switching. Both antennas are modeled using our Finite-Difference Time-Domain (FDTD) simulator. The beam steering antenna will be capable of more than 60° scanning angles and the frequency switching antenna can operate at 2.4GHz and 5.8 GHz for WLAN applications.
Validation of a soil interface model to account for apparent aberrations in capacitive soil moisture sensors
Peter Johnson, Kym Watling, David V. Thiel, et al.
In this paper the operation of capacitive soil moisture sensors are modeled using an electrical circuit analogue. This model aims to predict the response of capacitive sensors for a variety of soil types, moistures, soil conductivity and sensor operating frequencies. The model is extensively validated under a variety of conditions for a variety of sensor circuits and measurement techniques. The deposition of a conducting film composed of clay-like soil material over the sensing surface of a soil moisture sensor is shown to be the cause of hysteresis when the sensor is operated at low frequencies (10KHz). As the frequency is increased (10MHz) the effect of the conducting film becomes insignificant. Surface chemistry analysis techniques were used to identify the soil deposits on the conducting film. This research is motivated by the design of a small disposable sensor printed on a flexible plastic substrate measuring soil moisture as a function of the number of point contacts terminating on the insulated sensor electrode. In controlled conditions the sensor exhibits a linear response across most of its range to water content changes, but in some soils the reading becomes "stuck" on a high reading and does not return to a lower reading until the soil has dried considerably.
Considerations in the design of support circuitry for MEMS sensors in biomedical applications
Recently, advances in fabrication accuracy and decreasing feature size have lead to the application of piezoresistive pressure sensors to more challenging and confined environments. A particularly promising area has been miniature manometric catheters for invivo diagnostics. Many monolithic circuit designs have been proposed with this in mind, promising to deliver accuracy, increased sensitivity, multiplexing capacity and extremely reduced sizes. However, the delivery of a complete and commercially viable diagnostic device requires the consideration of many extended and interrelated variables dependent on the specific use of the device in the medical field. When designing the readout circuitry considerations may include the cost, size, complexity, manufacturing method, required accuracy, and durability of each component within the system. These factors influence the nature of support circuitry, and determine the level of integration required. This paper briefly describes the characteristics of piezoresistive Wheatstone bridge pressure sensors, and discusses options and considerations in the design of support circuitry for use in biomedical manometric catheters.
Design and fabrication of a MEMS-based piezoresistive pressure sensor for use in pharyngeal manometry
Richard L. Petty, Alex J. Hariz
We present the design and fabrication methods for a piezoresistive pressure sensor intended for use in biomedical applications and in particular, pharyngeal manometry. Design requirements are investigated for the sensors size, pressure range and frequency response. The piezoresistive effect is investigated to determine the crystallographic orientation of the substrate and the position of the piezoresistive elements on the surface of the chip. A design calculation method is derived, and a design approach is proposed that satisfy the requirements of the application. Finally a brief description is given of the fabrication processing steps that could be utilised to realise this design.
Optimization of flexure geometry in out-of-plane capacitive accelerometer for mechanical sensitivity enhancement
Azrif Manut, Ibrahim Mat, Mohd Ismahadi Syono
In the design of a capacitive accelerometer, good mechanical sensitivity is desirable. A study of the optimization of flexure geometry in out-of-plane capacitive accelerometer for mechanical sensitivity enhancement is presented in this paper. The movable proof mass is suspended over the stationary electrodes by means of flexures anchored onto the substrate. The smallest possible spring constant of the flexures that will give the desired displacement was determined. Based on the spring constant's value, various combination of flexure length and width were selected and simulated using CAD software. The spring constant and displacement variations with the flexure dimensions at an applied acceleration was observed and analyzed. The modal and harmonic analysis were also simulated to ensure the linearity and the stability of the dominant mode of the device operation. Optimum results of the flexure geometry are presented and discussed.
Optimizing piezo-resistive strain gauge characteristics for intelligent strain sensing applications
This paper reviews current piezo-resistive characteristics pertaining to conventional and novel piezo-resistive strain transducers. These characteristics govern the performance of the sensor node. In this application, low power consumption, high signal to noise ratio (SNR), sensitivity and resolution in the sensor node are optimized for a distributed sensor network. In this low frequency application at < 100 Hz, it is found that electrical noise can limit the nominal resistance of the strain gauge to be used. By reducing the nominal resistance to lower the SNR, power consumption is increased. Optimization of the nominal resistance for excess noise and other material parameters must take place. Typical values have been used to explore the SNR over a range of resistance values and against frequency. The trade-off is also optimized in the volume and sheet resistance of the piezo-resistive material. Irreversible phenomena such as ageing and material creep are responsible for very low frequency drift (approaching DC) with respect to time and temperature. It is found that this drift is material specific and can be numerically compensated in situ. Maximizing sensitivity of the transducer is desirable to reduce the overhead at the sensor front-end. This overhead is shown to be dependant on gauge factor and the configuration of the strain-sensing circuit. The configuration of the strain-sensing circuit impacts on cost, complexity and SNR.
Top-down methodology in industrial mixed-signals design
E. Liao, A. Postula, Y. Ding
Analogue and mixed-signal designs are fast becoming significant in System-On-Chip (SoC) designs as digital computational cores need to interface with the real world. Cellular phones, magnetic disk drives, speech recognition hardware and other 'digital' innovations in fact rely on a core of analogue circuitry. Mature digital CAD tools competently handle the digital portions of SoC designs. This is not true for analogue and mixed-signals components, still designed manually using time-consuming techniques. A good top-down design methodology can drastically reduce the design time of analogue components in SoCs and allow comprehensive functionality verification. This paper contains a critical survey of current design processes and tools, a top-down design case study and introduces MIX-SYN, a new platform for fast-tracking exploration and design time for the analogue and mixed-signals design industry.