Proceedings Volume 5754

Optical Microlithography XVIII

cover
Proceedings Volume 5754

Optical Microlithography XVIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 12 May 2005
Contents: 24 Sessions, 178 Papers, 0 Presentations
Conference: Microlithography 2005 2005
Volume Number: 5754

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Invited Session: Key Directions in Optical Microlithography
  • Polarization and High NA
  • Immersion Lithography I
  • Low-k1 Process Control and Performance
  • Immersion Lithography II
  • Image Quality and Characterization
  • Development in RET I
  • Image and Process Modeling II
  • Image and Process Modeling I
  • Developments in RET II
  • Image and Process Modeling II
  • Mask Polarization Effects
  • Advanced Lithographic Materials
  • Advanced Exposure Systems and Components I
  • Advanced Exposure Systems and Components II
  • Immersion Lithography Materials Challenges
  • Poster Session: Low-K1 Process Control and Performance
  • Advanced Exposure Systems and Components II
  • Poster Session: Low-K1 Process Control and Performance
  • Image and Process Modeling
  • Photomask Technology
  • Polarization, High-NA, and Immersion Lithography
  • OPC and Implementation
  • Exposure Tools, Subsystems, and Materials
  • Developments in RET
  • Illumination and Control
  • Contamination and Control
  • Image Quality and Characterization
  • Poster Session: Low-K1 Process Control and Performance
  • Image and Process Modeling I
  • Contamination and Control
  • Developments in RET
  • Polarization and High NA
  • Image Quality and Characterization
Invited Session: Key Directions in Optical Microlithography
icon_mobile_dropdown
Thirty years of lithography simulation
Thirty years ago Rick Dill and his team at IBM published the first account of lithography simulation - the accurate description of semiconductor optical lithography by mathematical equations. Since then, lithography simulation has grown dramatically in importance in four important areas: as a research tool, as a development tool, as a manufacturing tool, and as a learning tool. In this paper, the history of lithography simulations is traced from its roots to today’s indispensable tools for lithographic technology development. Along the way, an attempt will be made to define the true value of lithography simulation to the semiconductor industry.
Characterization of ArF immersion process for production
ArF immersion lithography is essential to extend optical lithography. In this study, we characterized the immersion process on production wafers. Key lithographic manufacturing parameters, overlay, CD uniformity, depth of focus (DOF), optical proximity effects (OPE), and defects are reported. Similar device electrical performance between the immersion and the dry wafers assures electrical compatibility with immersion lithography. The yield results on 90-nm Static Random Access Memory (SRAM) chips confirm doubling of DOF by immersion as expected. Poly images of the 65-nm node from a 0.85NA immersion scanner are also shown.
How to describe polarization influence on imaging
M. Totzeck, P. Graupner, T. Heil, et al.
We give a general introduction into polarized imaging and report on a Jones-pupil approach for a complete evaluation of the resulting optical performance. The Jones pupil assigns a Jones matrix to each point of the exit pupil describing the impact of both the global phase and the polarization on imaging. While we can learn already a lot about the optical system by taking a close look at the Jones pupil - and starting imaging simulations from it - a quantitative assessment is necessary for a complete evaluation of imaging. To do this, we generalize the concept of scalar Zernike aberrations to Jones-Zernike aberrations by expansion of the Jones pupil into vector polynomials. The resulting method is non-paraxial, i.e. the effect of the polarization dependent contrast loss for high numerical apertures is included. The aberrations of the Jones-matrix pupil are a suitable tool to identify the main drivers determining the polarization performance. Furthermore, they enable us to compare the polarized and the unpolarized performance of the such characterized lithographic system.
Resist blur and line edge roughness
Gregg M. Gallatin
A straightforward analytic model of resist line edge roughness is presented which predicts all the known scaling laws as well as the shape of the experimentally seen frequency content or power spectrum of the roughness. The model implies there are strong basic limitations to achieving, simultaneously, low roughness, low dose and high resolution in any standard chemically amplified resist process. A simple model of how roughness maps to device performance is also presented.
Polarization and High NA
icon_mobile_dropdown
Challenges with hyper-NA (NA>1.0) polarized light lithography for sub lambda/4 resolution
Donis G. Flagello, Steven Hansen, Bernd Geh, et al.
The use of immersion technology will extend the lifetime of 193nm and 157nm lithography by enabling numerical apertures (NA) much greater than 1.0. This paper explores the effects that will occur when the high NA systems are augmented with polarization.. Specifically we show that there are strong interactions between the polarization induced by the reticle and polarization in the optics. This has a direct impact on the across-field specification of the polarization of the optical system as it causes a large variation in the imaging impact in photoresist. The impact of lens and reticle birefringence on the imaging is also analyzed. We show that reticle birefringence should not be a major concern when the birefringence is maintained to 2nm/cm - 4nm/cm levels. The lens can be modeled by a Jones matrix approach, where multiple pupils must be defined for each polarization state. We show the impact of the optical components by using a rigorous photoresist simulation on the process window of sub-50nm features using NA>1.3. The simulator uses a full Maxwell equation solver for the mask, polarized illumination, a Jones matrix approach for the pupil, and a photoresist simulation with calibrated model. The photoresist process is also shown to interact with polarization. Different photoresist will show varying degrees of sensitivity to polarization variation.
Hyper-numerical aperture imaging challenges for 193 nm
James E. Webb, Robert L. Maier, Douglas S. Goodman, et al.
Lithographic methods of imaging in resist can be extended with the addition of immersion fluid. The higher index of refraction fluid can be used to print smaller features by increasing the numerical aperture beyond the limits of dry lithography. Alternately, an immersion optical system can achieve a larger depth of focus at the same numerical aperture as the equivalent dry lithography system. When numerical apertures are significantly greater than 1.0, polarization effects start to impact resolution seriously. Special illumination conditions will be used to extend resolution limits. Additional factors that affect imaging in resist need to be included if we are to achieve new resolution limits using high index of refraction materials to increase numerical apertures. In addition to material inhomogeneities, birefringence and optical surface effects, material absorption, coatings and index differences at boundaries will have a larger impact on image resolution as ray angles in the imaging system continue to increase with numerical aperture. Aerial and resist imaging effects that material characteristics have on polarization, uniformity and aberrations in the lens pupil will be studied.
PSM polarimetry: monitoring polarization at 193nm high-NA and immersion with phase shifting masks
A technique using phase shifting test mask patterns is introduced for monitoring polarization balance of the illumination in high-NA and immersion projection printing systems. A set of test mask patterns are derived from high-NA proximity effects and serve to scatter light into high angle spatial frequencies. This creates a central intensity dependent only on the local state of polarization. A test mask consisting of multiple patterns is proposed to monitor the polarization from any arbitrary illumination scheme. Proper calibration of the test reticle enables reasonable mask making limitations and mask topography effects to be tolerated. A set of linear equations enable determination of the Stokes parameters from a series of resist images. Practical examples are simulated with rigorous electromagnetic theory. In resist, this technique is likely to monitor polarization with a sensitivity of over 1.5 percent of the clear field per percent change in polarization state for on-axis illumination, or over 0.75 percent for off-axis illumination. The effects of various realistic imaging conditions are discussed.
A high-frame-rate DUV-optimized CCD for simultaneous measurements of illumination intensity, polarization amplitude, and polarization direction for very high NA imaging systems
Roderick R. Kunz, Dennis D. Rathman, Steven J. Spector, et al.
Lincoln Laboratory has designed and fabricated a charge-coupled device (CCD) array capable of imaging both polarization and illumination uniformity. The device consists of an 1107-element linear array of UV-optimized silicon photodiodes read out by a three-stage CCD through a single ~1-MHz output amplifier. This yields an effective clock rate for the whole array of ~1 kHz. Each of the active diode surfaces within the 1107-element array is covered by a UV-opaque layer of polysilicon into which are patterned 140-nm, transmissive sampling slits. The orientation and location of the slits allows simultaneous determination of illumination uniformity, degree and direction of polarization, and polarization uniformity. The device was tested with a 193-nm excimer laser equipped with variably polarized illumination and the theoretical performance of the device was supported by finite-difference time domain optical simulations.
Immersion Lithography I
icon_mobile_dropdown
First microprocessors with immersion lithography
Immersion lithography has emerged as the leading solution for semiconductor manufacturing for the 45nm node. With the emergence of the first full-field immersion lithography scanners, the technology is getting ready to be inserted in semiconductor manufacturing facilities throughout the world. In the initial implementation phase, the enhanced depth-of-focus provided by immersion will be utilized to mitigate the narrow process window in which leading-edge semiconductor manufacturing has been forced to operate, creating a new set of opportunities.1 The area of defects, however, has remained of critical concern for this technology. It has become clear that the ultimate proof of the readiness of immersion, especially from a defect point of view, must be attained by integrating the immersion process in a production environment. In this paper, we demonstrate that fully functional 90nm PowerPCTM microprocessors have been fabricated using immersion lithography for one of the litho-critical via levels, achieving the goal of confirming that immersion lithography is a viable manufacturing solution. For this demonstration, we utilized the AT1150i (ASML), currently at Albany NanoTech (NY). The system is a 0.75 NA full-field 193nm projection (4x) scanner. We were able to achieve lithographic and overlay performance that exceeded product specifications while achieving a sufficiently low defect count so as to have yielding chips and modules. We have classified the leading types of defects that can be attributed to the immersion process and have assessed their processing impact. Electrical characterization of the integrated devices confirmed full functionality at both wafer final test (WFT) and module test (MT).
Resist profile control in immersion lithography using scatterometry measurements
Control of critical dimension (CD) and resist profile is increasingly important in low-k1 lithography, and becomes more difficult in thin resist processing due to the chemical interaction occurring at the resist surfaces. Implementation of immersion lithography will make the control even more challenging since more sources of chemical interaction can play a role, e.g. leaching of photo active material from the resist into the water, or diffusion of the water into the resist. Moreover, the contact of the liquid in the scanner showerhead with the wafer surface is a dynamic and local interaction, which needs to be understood and quantified, since variations in soak time are a possible source of intra-field and across wafer CD-variations. In this paper we developed a methodology to understand and to quantify the impact of immersion scanner soak on resist profile control and CD-control. The methodology is on the one hand based on the simulation of the showerhead movements over the wafer during the immersion lithography process, where for a particular location on the wafer the soak time is calculated by accumulating the interaction time every time the showerhead is passing that particular location. On the other hand the methodology quantifies experimentally how much resist profile change and CD-variation is caused by a particular pre- and post-soak time, by testing the process in a virtual immersion set-up and measuring the CD-response with high-precision scatterometry. In this way, we were able to predict CD-variations related to immersion soak. Using the initial resist and topcoat processes, we recently experimentally verified on the ASML XT:1250Di immersion tool at IMEC that these soak related CD-variations exist. The effects are small, but in line with the soak time simulations and the CD-response obtained on the virtual immersion set-up. This demonstrates that the methodology described above could be very useful to select materials for lithography processes and to set specifications for allowed CD-variations in line with to the over-all allowed CD-budget.
25 nm immersion lithography at 193 nm wavelength
The physical limitations of lithographic imaging are ultimately imposed by the refractive indices of the materials involved. At oblique collection angles, the numerical aperture of an optical system is determined by nsin(θ) , where n is the lowest material refractive index (in the absence of any refractive power through curvature). For 193nm water immersion lithography, the fluid is the limiting material, with a refractive index of near 1.44, followed by the lens material (if planar) with a refractive index near 1.56, and the photoresist, with a refractive index near 1.75. A critical goal for immersion imaging improvement is to first increase the refractive indices of the weakest link, namely the fluid or the lens material. This paper will present an approach to immersion lithography that will allow for the exploration into the extreme limits of immersion lithography by eliminating the fluid altogether. By using a solid immersion lithography (SIL) approach, we have developed a method to contact the last element of an imaging system directly to the photoresist. Furthermore, by fabricating this last element as an aluminum oxide (sapphire) prism, we can increase its refractive index to a value near 1.92. The photoresist becomes the material with the lowest refractive index and imaging becomes possible down to 28nm for a resist index of 1.75 (and 25nm for a photoresist with a refractive index of 1.93). Imaging is based on two-beam Talbot interference of a phase grating mask, illuminated with highly polarized 193nm ArF radiation. Additionally, a roadmap is presented to show the possible extension of 193nm lithography to the year 2020.
Controlled contamination studies in 193-nm immersion lithography
V. Liberman, S. T. Palmacci, D. E. Hardy, et al.
In liquid immersion lithography the last optical element is in intimate contact with the liquid for extended periods of time, and therefore is at risk of being contaminated by impurities in the liquid. The purity of the liquid must be kept under stringent control compared to "dry" lithography, since the density of liquid is ~ 1000 times higher than that of gas. Thus, 1 part per billion contaminant in the liquid may have an equivalent effect on the optics to 1 part per million in gas. The risk is that the combination of high contaminant density, short wavelength, and large laser dose will conspire to contaminate the optics, change its transmission, and possibly cause increased flare. In order to clarify the potential for such effects, we have begun a set of experiments with controlled contamination. In these studies, a 193-nm laser irradiates a sample in the presence of flowing clean water into which controlled amounts of contaminant have been injected. The sample is either bare fused silica or calcium fluoride protected with thin films. Results will be presented with organic contaminants such as isopropanol and acetone. These results will include an analysis on the implications for controlling water purity.
A methodology for the characterization of topography induced immersion bubble defects
A key issue regarding the introduction of 193nm immersion lithography into production is immersion specific defects. One of these new defect types is the formation of air bubbles in the immersion fluid near or on the resist surface, which can then cause significant local dose variations. One possible mechanism for inducing bubble formation is the introduction of surface topography, such as seen on a typical product wafer, which could then disrupt the immersion fluid flow and entrain air. This brings up the question of what, if any, types of topography we need to be worried about and how do we test all the possible variants that will exist on product wafers. To help address this issue we have created a special topography reticle and wafer set and used them for exposures on a prototype immersion scanner. The wafer set was generated using a first level reticle designed to have an extremely wide range of topography types in a modular and systematically varying format. The wafer fabrication included skews of the trench depths, variation of the surface contact angle by using different topcoats, and optimization of the process flow to enable high contrast defect inspections. The second level reticle used for the immersion exposures was designed to cover the entire topography wafer with dose sensitive grating structures to detect any dose modulation caused by bubbles. In this paper we present the design of these reticles and wafers and the results of the first immersion exposures. Flat, unpatterned wafers were also exposed on the immersion tool in order to provide a basis for comparison. A KLA 2351 inspection tool was used to inspect all the wafers for defects. The initial results of these tests did not show a strong interaction of bubbles with topography.
Low-k1 Process Control and Performance
icon_mobile_dropdown
Matching multiple-feature CD response from exposure tools: analysis of error sources with their impact in low-k1 regime
According to ITRS roadmap, low-k1 imaging requires extremely tight control on Critical Dimension Uniformity for multiple features that have to be printed simultaneously across exposure field and within the wafer. Besides tight control, CDU imaging performance needs to be maintained constant within wafer, wafer to wafer and lot to lot, as well as from one exposure tool to another. Maintaining the same performance from one exposure tool to another translates into new imaging requirements, specific for low-k1 regime, the matching of multiple-feature CD response to tool and process disturbances having different time and spatial characteristics. Here we defined the tool processing errors as disturbance and their CD effect as feature response. The tool disturbances considered in this work are represented by variations in dose and focus setup as well as dose - focus drifts and by reticle mean CD variation, short-range flare, offset in illumination setting (sigma center) and variation in spherical aberration. We defined the multiple-feature CD response as the 'through-pitch' CD variation, i.e., all responses calculated here are for the common range of pitches starting from 1:1 is isolated. The CD-proximity concept has been introduced earlier (1,2) but the sensitivity of proximity curve to litho tool disturbances and their effect on CD matching are less accounted for. In our study we explored two types of multiple-feature CD responses, the total CDU (3Sigma) and the actual CD values calculated from tool disturbances mentioned above. The later is used to characterize tool to tool CD-matching. The dependencies of multiple-feature CD response to primary tool-induced disturbances was done on lithography patterns typical to real device layout for both line-spaces (1-D) and 2-D patterns (line-ends) in clearfield and darkfield polarity. Although some of the effects can be small (in the single nm range), the sum of all relevant contributors add up to significant values when compared to single feature CDU requirement. As a relevant example to characterize CDU imaging performance of ArF low k1 lithography we will study multi-feature CD response to disturbances that are less accounted for, such as short-range straylight and laser bandwidth. In the future, disturbances induced by immersion and polarization will make the multi-feature CD response even more complex. Certain actuators on the exposure tool, such as dose, focus or coherence, can be actively used to control multi-feature CD matching, very similar to the way single feature CDU can be controlled by applying dose offsets. In the study, we will also compare the approach for a 'single-tool' CDU control to the approach to perform 'multiple-feature' CD matching on multiple exposure tools and will present cases where feature response are opposite in sign and magnitude for two different disturbance, which opens the door for compensating effects from one disturbance by selectively applying an offset to its orthogonal disturbance. For both 1-D and 2-D structures, our results show that 3nm reticle MTT (Mean-To-Target) disturbance has one of the most significant effect on multiple-feature CDU response which places an even tighter requirement to reticle CD’s.
The challenge of high-volume 193-nm semiconductor manufacturing
U. P. Schroder, S. Poelders, T. Fischer, et al.
This paper discusses a variety of issues encountered in 193nm lithography high volume production. In order to debug the new 193nm technology, a layer from an older qualified technology was qualified on the new tools. Tool statistics were benchmarked against the installed 248nm tool base. Several issues not known from 248nm lithography or from low volume R&D type pilot runs on 193nm were uncovered. Specifically, issues related to aging of optical parts, defects from various sources, track processing, and masks are discussed.
Implementation of KrF 0.29 k1 lithography
One of the crucial tasks of semiconductor process is reduction of manufacturing cost by shrinking the design rule with the help of fine patterning technologies. For high density DRAM application, we explored 0.29 k1 lithography with KrF 0.80NA scanner. Well-known lithography technologies such as asymmetric crosspole, dipole illumination and 6% attenuated PSMs were used for this experiment. Illumination source and mask layout optimization were carried out iteratively to meet CD target, and high contrast thin resist was applied to improve pattern fidelity. Some of the biggest challenges were coping with large MEEF and reducing simulation error. Abnormal non-open fail, probably due to large MEEF, was observed at a dense contact hole pattern. To cope with non-open fail, we tested multi-PSM which composed of alternating PSM along the x-axis direction and 6% attenuated PSM along the y-axis direction. Also we pushed sigma offset of illumination pupil more strongly than exposure tool's specification and there was no serious drawbacks of partial coherency extension. Accurate partial coherence measurement was important for obtaining target CDs and reducing OPC error. For some layers, unexpected simulation error was occurred especially at the patterns of peripheral circuit, therefore we had to calibrate simulation parameters of in-house tool and commercial tool (Solid-C) for OPC simulation. Finally we successfully demonstrated 0.29k1 KrF lithography by showing process yield over 58% in 512Mb DRAM having design rule of 90nm. Based on the results we obtained, we can conclude that 0.29k1 lithography is quite feasible for mass production and 60nm design rule DRAM devices can be manufactured with ArF dry 0.93NA. Since dry 0.93NA corresponds to 1.33NA in ArF water immersion with respect to k1, we can expect that it is possible to fabricate 42nm DRAM devices with ArF immersion lithography.
Optical lithography technologies for 45-nm node CMOS
In 45nm-node CMOS, the k1 value is around 0.35. In the low-k1 lithography, the robust design for lens aberration and process fluctuation such as mask CD error is required for manufacturing. The technologies of robust design for 45nm-node CMOS are proposed. The alternating phase shift mask has been applied to obtain high accurate CD controllability for gate level. Since the sensitivity to lens aberration is high, design rule is restricted. Immersion lithography with hyper NA over 1.0 is necessary for contact hole level to get large DOF margin. Since the mask enhanced error factor is large, high accurate CD uniformity on mask is necessary. Using hyper NA immersion tool, high density SRAM whose area is 0.25um2 can be clearly resolved.
Analysis of precise CD control for 45nm node and beyond
Yuhei Sumiyoshi, Koji Mikami, Yasuo Hasegawa, et al.
Semiconductor device shrink progresses steadily at a speed of one generation every two years and CD uniformity (CDU) requirement becomes severer as shown in ITRS. Higher level of CD control performance is the most important item for semiconductor exposure tools to meet 45nm node requirements. For this higher accuracy it is of course necessary to brush up the projection optics and the illuminator system in every detail. We need to reassess items which were ignored as error factor until now and include them into the CD budget and thereby control in high accuracy. These small factors include the effects of birefringence caused by glass materials and coating, transmission distribution at the pupil of projection optics and influence of spectrum stability of a laser used in Hyper NA lens, and so on. They will come into the budget in addition to the conventional aberration and illuminator uniformity as we start to use new exposure technology such as immersion or polarized illumination after 45nm node. In this paper, we list up items which influence CDU in the node after 45nm, and estimate sensitivity for CDU for each item. Then we set the target values of every item by breaking the CDU target value of ITRS in terms of projection optics, illumination system, and total performance of exposure equipment. We show data for some items, and describe a prospect for 45nm node era and beyond.
Lithography enabling for the 65 nm node gate layer patterning with alternating PSM
Alexander Tritchkov, Seongtae Jeong, Christopher Kenyon
This paper presents the application of double exposure alternating phase shift mask (APSM) lithography to the 65nm node gate layer. An integrated approach involving optimization of the layout design rules, APSM synthesis, Optical Proximity Correction (OPC), mask manufacturing process, and wafer patterning process has been employed to scale gate layer critical dimensions from the 90nm node to the 65 nm node with no loss in focus or exposure process window. The paper focuses on some of challenges for achieving a production-worthy APSM solution, including discussions of APSM flow development along with aspects of OPC model calibration, OPC performance, CD control, and OPC validation. Patterning results from the application of APSM to the gate level of a state-of-the-art 65nm node random logic technology are presented.
Immersion Lithography II
icon_mobile_dropdown
Status of 157 nm lithography and prospects for immersion
The FPA-5800FS1 157-nm scanner installed at Selete has demonstrated a minimum resolution of 55 nm for line-and-space (L/S) patterns with a numerical aperture (NA) of 0.8. The scanner has been used for 65-nm-node device fabrication and will be used for 45-nm-node device development. The approximately 20% shorter wavelength in 157-nm lithography has several advantages compared to 193-nm immersion lithography. For example, assuming the same k1 value, 157-nm lithography, which has a 20% smaller NA, has a 25% larger depth of focus and better resolution in two-dimensional patterns, for which polarized illumination is not effective. This 157- nm immersion lithography has the potential to be used for 32-nm-node device fabrication with a k1 of 0.3 in combination with a high-refractive-index immersion fluid. To demonstrate the process feasibility of 157-nm immersion lithography, we developed a two-beam interferometric stepper with a high-quality F2 laser and used it and a commercial perfluoroether as an immersion fluid to print 60-nm L/S patterns with a steep cross-sectional profile. Development of an immersion fluid with a high refractive index and low optical absorption is critical issue for making 157-nm immersion lithography practical. We have identified several fluorinated polymers with high diffractive indices and will continue searching for suitable 157-nm immersion fluids.
A 157-nm immersion microstepper
We have designed and constructed a microstepper for 157 nm immersion lithography. The lens, designed and fabricated at Newport, provides a numerical aperture of 1.3 and a field size of 60 μm with immersion liquids of index n=1.38. Because of a lack of system interferometer, final alignment has been ongoing in the field using actuators incorporated into the lens design. Lithography down to 250 nm has been demonstrated but lens alignment has proved difficult. We are currently implementing an image monitoring system to provide real-time feedback on lens performance and to allow expedited alignment.
Simulation of the effect of a resist-surface bound air bubble on imaging in immersion lithography
Resist-surface bound air bubbles have been identified as a possible defect mechanism in immersion lithography. The general expectation is that the bubble will primarily cause local dose reductions, but no detailed simulations on this effect have been published. The work described in this paper is a first attempt to do so: we have simulated the effect of bubbles on 1:1 dense Line/Space patterning. Our results confirm that the major effect of the presence of a bubble is indeed underexposure - or in most cases even non-exposure - of the pattern in the area occupied by the bubble, but it also identifies a few more subtle characteristics of bubble-induced defects which can help identify defects observed on immersion wafers as being caused by a bubble. Apart from the simulation results, we also show a few experimentally observed immersion defects, which we believe are indeed generated by a bubble.
Experimental investigation of solid immersion lens lithography
There are several next generation technologies for high resolution lithography, such as ArF wet immersion, F2, EUV, etc. However, these technologies are very expensive because of projection lens and mask costs. Near-field optics using a solid immersion lens (SIL) can meet the requirement of high resolution in a cost-effective way. In this paper, a very compact and inexpensive high resolution system using a SIL is introduced and preliminary experimental results are presented using a 405nm laser diode system. The SIL is used with a modified conventional inverted microscope. The air gap between the SIL flat bottom surface and the wafer is kept less than 50nm. Optical reflected power from SIL bottom and wafer interface is used to control the gap. A high resolution experiment with 405nm wavelength is discussed.
Image Quality and Characterization
icon_mobile_dropdown
Aberration retrieval for high-NA optical systems using the extended Nijboer-Zernike theory
Peter Dirksen, Joseph J.M. Braat, Augustus J.E.M. Janssen, et al.
Previously, we have given a detailed description of the so-called Extended Nijboer-Zernike approach and its application to aberration measurements of the optical projection system in a wafer scanner in the case of a low or medium high-NA system. The Extended Nijboer-Zernike theory provides an analytical description of the through-focus intensity point-spread function in the presence of lens aberrations and defocus. Taking the Extended Nijboer-Zernike description for the electric field components in the case of a high-NA optical system as a starting point, we present an approach to aberration retrieval when the NA is very high. The experimental procedure involves the analysis of a focus-exposure matrix. The differences between aberration retrieval using the low-NA scalar model and the high-NA full vectorial model are discussed. The mathematical framework is shown and the experimental procedure to extract aberrations for a high-NA lens is demonstrated on modern 193 nm wafer scanners.
Dynamic laser speckle in optical projection lithography: causes, effects on CDU and LER, and possible remedies
Tor Sandstrom, Christer Rydberg, Jorgen Bengtsson
Dynamic speckle is caused by the finite pulse length and limited spectral linewidth of the partially coherent radiation from the excimer lasers used in optical projection lithography. One effect of the dynamic speckle is that the energy delivered to a certain position at the wafer is a stochastic quantity and cannot be precisely controlled, fundamentally limiting the dose control in the lithographic system. Further, the spatial distribution of dynamic speckle fluctuations is shown to depend on illumination conditions, contributing to unwanted effects such as line edge roughness (LER). In this work we show, theoretically and by numerical simulation, how the choice of the illuminator intensity distribution influences LER. In particular, it is noted that speckle-induced LER is a prominent cause of the long-range changes in the position of the line edge, as evidenced in the calculations of the power spectral density (PSD) of the LER.
Characterization, modeling, and impact of scattered light in low-k1 lithography
In this paper we present a method to characterize scattered light in lithography scanners based on the measurement of the modulation transfer function (MTF) of the lens. This method provides a description of scattered light at all length scales, or spatial frequencies, relevant to lithographic printing. We also introduce a new automated technique based on scatterometry that improves the precision and repeatability of the MTF measurement. Modeling of flare is important to quantify the impact of scattered light on the critical dimension of the features printed on chips. We have developed simulation methods based on actual data from our lithography scanners. Our model uses the MTF of the lens and the Fourier transform of the chip density map to calculate the flare distribution across the chips. We show that this approach is useful to understand how the characteristics of different scanners in our fabrication facilities might affect the critical dimension (CD) uniformity across our product chips.
Measurement technique of nontelecentricity of pupil-fill and its application to 60 nm NAND flash memory patterns
Jangho Shin, SukJoo Lee, Hochul Kim, et al.
Various pupil-fill measurement techniques are evaluated to monitor non-telecentricity of an illuminator as followings: transmission image sensor (TIS) of ASML, source metrology instrument (SMI) of Litel, Fresnel zone plate (FZP) of Philips, and non-telecentricity measurement technique using traditional overlay marks, which is based on an idea that pattern shift is proportional to the amount of defocus. Based on aerial image simulation with measured non-telecentricity, its effect on sub-70 nm device patterning is discussed. Experimental data shows that some of pupil-fills appear more than 70 milli-radian of source displacement error and it may cause serious pattern shift and/or asymmetry. Detailed descriptions of measurement techniques and experimental results are presented.
A novel focus monitoring method using double side chrome mask
Yoshihiro Shiode, Hiroshi Morohoshi, Atsushi Takagi, et al.
In order to measure the focus control performance on exposure tools with high accuracy, we developed a novel focus monitoring method, entitled Z-SPIN. The features of this Z-SPIN method are the high resolution focus measurement accuracy of < 1nm and process robustness. We therefore began by quantitatively analyzing the issues exposure tools were having through the use of the Z-SPIN method. From this examination result, we demonstrate a robust focus control solution with Z-SPIN mask. In parallel, through the determination of the focus budget with the new focus control technique, a significant improvement of the focus performance on exposure tools is shown. Finally, by tightening focus control, we examined the viability of extending the lifetime of exposure tools as well as enabling device shrinking.
Development in RET I
icon_mobile_dropdown
Layout and source dependent transmission tuning
Progress in photomask technology, exposure system flexibility and lithographic computation has enabled the practical exploration of jointly optimized lithographic imaging variables. The interaction between certain components, such as mask and illuminator, allows co-optimized solutions to frequently achieve better results when compared with sequential, single factor optimizations. In this work, we focus on the automated co-optimization of embedded phase shift mask transmission factor and exposure system illumination source profile for improving image based merit functions. Algorithm descriptions are provided and the critical interaction of optimization parameters with mask layout is highlighted. Our co-optimization algorithm is exercised on the more challenging random logic case and the concept of manipulating or restricting layout conditions to improve the achieved merit function is studied. Finally, suggestions for the experimental prototyping of solutions are provided and an assessment on deviating from the industry accepted 6% transmission discussed.
Complementary dipole exposure solutions at 0.29 k1
We have processed a 22 mm x 4.5 mm design for Complementary Dipole Exposure (CDE), with pitches down to 150 nm. The design included SRAM active, poly, and random logic poly structures. A model-assisted decomposition technique was used to determine which feature element should be incorporated into which mask layer to provide optimal printability. The entire design was treated using a single script. The resulting mask layers were corrected for proximity effects, and placed on a binary mask. Mask CD-SEM measurements showed that both narrow lines and small gaps were generated with excellent accuracy. Double exposures were done on an ASML PAS 5500/1100 0.75 NA ArF scanner. The densest pitch present on the design was 150 nm, corresponding to a k1 of 0.29 for a 0.75 NA ArF scanner. Apart from dense pitches, the design also had challenging structures with target CD’s down to 70 nm and gaps as small as 80 nm. SEM measurements of the exposed wafer were used to verify the patterning fidelity of typical active and poly SRAM geometries, and random logic poly structures. We conclude by showing the first imaging data obtained with CDE, using polarized light on a 0.93 NA ASML TWINSCAN XT:1400 step & scan system.
Full-chip single exposure vortex mask for contact/via
Yong Liu, Dun Liu, James Hu
Among many advanced contact-hole imaging methods, the vortex phase-shift mask had been shown to have excellent image quality by Marc Levenson et al. [1, 2]. Whereas, the double line-space phase shift mask [3] provides the ultimate resolution enhancement. However, both methods are restricted to uniform contact-hole arrays or contact holes on uniform grid requiring double exposures. In this paper, we show, step-by-step, how to convert a random contact-hole layout into a vortex PSM suitable for single exposure or double line-space PSM masks. We have developed a software program to automatically do the contact-hole pairing, phase-shifter creation, phase assignment and conflict resolution. Further, we present image quality evaluations of memory, uniform contact-hole array and basic vortex pairs. Our results indicate our method (general vortex phase-shift mask) enjoys a process window 2 times that of alternating phase-shift method for both memory and uniform contact-hole array. We further show how simple manual OPC can be added to correct image asymmetry issues associated with vortex mask. Finally, we will discuss the challenges remaining for OPC of single exposure vortex PSM for random logic layout.
High transmission mask technology for 45nm node imaging
The lithography prognosticator of the early 1980’s declared the end of optics for sub-0.5μm imaging. However, significant improvements in optics, photoresist and mask technology continued through the mercury lamp lines (436, 405 & 365nm) and into laser bands of 248nm and to 193nm. As each wavelength matured, innovative optical solutions and further improvements in photoresist technology have demonstrated that extending imaging resolution is possible thus further reducing k1. Several authors have recently discussed manufacturing imaging solutions for sub-0.3k1 and the integration challenges. The requirements stated in the ITRS roadmap for current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will continue further for aggressive imaging solutions. Lithography and more importantly “imaging solutions” are driven by economics. The technology might be extremely innovative and “fun”, however, if it's too expensive it may never see the light of scanner. The authors have investigated and compared the capability of high transmission mask technology and image process integration for the 45nm node. However, the results will be graded in terms of design, mask manufacturability, imaging performance and overall integration within a given process flow.
Lithography manufacturing implementation for 65 nm and 45 nm nodes with model-based scattering bars using IML technology
Michael Hsu, Doug Van Den Broeke, Tom Laidig, et al.
Scattering Bars (SB) OPC, together with optimized illumination, is no doubt one of the critical enablers for low k1lithography manufacturing. (1) The manufacturing implementation of SB so far has been mainly based on rule-based approach. While thiis has been working well, a more effective model-based approach is much more desired lithographically for manufacturing at 65nm and 45nm nodes. This is necessary to ensure sufficient process margin using hyper NA for patterning random IC design. In our model-based SB (M-SB) OPC implementation, we have based on the patented IML. Technology from ASML MaskTools.(2,3) In this report, we use both dark field contact hole and clear field poly gate mask to demonstrate this implementation methodology. It is also quite applicable for dark field trench masks, such as local interconnect mask with damascene metal. For our full-chip implementation flow, the first step is to determine the critical design area and then to proceed with NA and illumination optimization. We show that, using LithoCruiser, we are able to select the best NA in combination with optimum illumination via a Diffraction Optical Element (DOE). The decision to use a custom DOE or one from the available DOE library from ASML can be made based on predicted process performance and cost effectiveness. With optimized illumination, it is now possible for MaskWeaver to construct an interference map for the full-chip mask pattern. Utilizing the interference map, M-SB OPC is generated. Next, model OPC can be applied with the presence of M-SB for the entire chip. It is important to note here, that from our experience, the model OPC must be calibrated with the presence of SB in order to achieve the desired accuracy. We report the full-chip processing benchmark using MaskWeaver to apply both M-SB and model OPC. For actual patterning performance, we have verified the full chip OPC treatment using SLiC, a DFM tool from Cadence. This implementation methodology can be applied to binary chrome mask, attenuated PSM, and CPL.
Era of double exposure in 70 nm node DRAM cell
Sang-Jin Kim, Joon-Soo Park, Tae-Young Kim, et al.
In this paper, two different methods of double exposure are proposed to improve the resolution in low k1 lithography. One is using an additional mask to complement the lack of image contrast. The other is to fix the mask and only use combinations of illumination systems to increase image contrast. By applying image assisting double exposure to asymmetry dense contact under k1=0.33, the process window can be doubled in comparison to the single exposure method. By an appropriate design of two masks, we could also minimize the image distortion from overlay shift by mixture of masks. Effective first order efficiency is defined as a new term in double exposure with complementary illumination. The larger the value is, the better the image contrast becomes. Through an experiment and simulation in k1=0.30, in double exposure with two illuminations and the same mask, that wider process window was obtained than in single exposure with optimized illumination system, and also 0.10um of DOF (Depth of Focus) was obtained under k1=0.28.
Image and Process Modeling II
icon_mobile_dropdown
Modeling of electromagnetic effects from mask topography at full-chip scale
Polarization and other complex electromagnetic effects that arise because of mask topography are becoming increasingly more important to model. Commercial lithography simulation tools that operate on small layout areas of order 1-10μm2 have advanced models requiring solution of Maxwell’s three-dimensional boundary problem. However, this technique is not viable for full-chip modeling. Here, we show results that demonstrate the accuracy of domain decomposition method adapted for full-chip modeling of mask topography effects. The intensity error relative to the complete 3D solution is shown to be < 3%.
Image and Process Modeling I
icon_mobile_dropdown
Mask and wafer topography effects in immersion lithography
The paper investigates the application of different modeling approaches for mask diffraction and wafer exposure analysis in the hyper imaging NA regime. Immersion lithography implies larger angles of incidence of the light which illuminates the mask. This effect limits the validity of the so called Hopkins approach for the rigorous simulation of light diffraction from the mask. It is demonstrated that strong phase shifting techniques in combination with off-axis illumination are not adequately modeled by the Hopkins approach. Moreover, the application of immersion lithography results in larger light propagation angles inside the resist/wafer stack. The application of rigorous electromagnetic field (EMF) simulation for the description of the wafer side light propagation demonstrates the limitation of the performance of single antireflective coatings (ARC). Rigorous EMF wafer simulations are also used to explore the printability of small air bubbles in the immersion liquid, which stick to the surface of the resist. Finally, a new approach (RENFT) for efficient rigorous EMF wafer simulations is proposed.
The impact of mask topography on CD control
Jonathan L. Cobb, Bernard J. Roman, Vladimir Ivin, et al.
Past work on mask topography has documented the effects of the topography on the aerial image intensity and on the responses of CD through defocus and image placement. Device performance, however, is limited by the statistical CD variation in the poly lines that form the logic and memory gates. We have developed a tool that combines fast, rigorous EMF calculations with Monte Carlo simulation to investigate the impact of mask topography on CD control. We have applied it to study the effects of mask topography on through-pitch CD control in 6% EAPSM, AAPSM, and CPL reticles at 90-nm half-pitch design rules. The effects of the topography can be understood by examining the coefficients of the Fourier expansion of the near-field radiation pattern. The magnitude of the 3D effects is not correlated with the amount of mask topography but with the specific details of the Fourier coefficients that pass through the pupil. The topography mainly distributes the energy more evenly and introduces additional phase information. The best imaging results at tight pitch are obtained when the difference between the magnitudes of the two main Fourier coefficients that pass through the pupil is small and their phase difference is close to π. At larger pitches more diffracted orders will pass through the pupil, and the extra phase information from the additional orders will couple with aberrations in a reticle-dependent way and complicate overall RET choice.
Optimized hardware and software for fast full-chip simulation
Yu Cao, Yen-Wen Lu, Luoqi Chen, et al.
Lithography simulation is an increasingly important part of semiconductor manufacturing due to the decreasing k1 value. It is not only required in lithography process development, but also in RET design, RET verification, and process latitude analysis, from library cells to full-chip. As the design complexity grows exponentially, pure software based simulation tools running on general-purpose computer clusters are facing increasing challenges in meeting today’s requirements for cycle time, coverage, and modeling accuracy. We have developed a new lithography simulation platform (TachyonTM) which achieves orders of magnitude speedup as compared to traditional pure software simulation tools. The platform combines innovations in all levels of the system: algorithm, software architecture, cluster-level architecture, and proprietary acceleration hardware using application specific integrated circuits. The algorithm approach is based on image processing, fundamentally different from conventional edge-based analysis. The system achieves superior model accuracy than conventional full-chip simulation methods, owing to its ability to handle hundreds of TCC kernels, using either vector or scalar optical model, without impacting throughput. Thus first-principle aerial image simulation at the full-chip level can be carried out within minutes. We will describe the hardware, algorithms and models used in the system and demonstrate its applications of the full chip verification purposes.
Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm
Intuitive design of the lithographic process becomes increasingly complicated in the regime of off-axis illumination and optical proximity correction. Therefore, new optimization procedures have to be introduced to facilitate the search for ideal process settings. This paper proposes mutual optimization of illumination and mask geometries using an automatic optimization approach based on a genetic algorithm. As presented elsewhere, this optimization procedure has been applied to different mask representations. It has been found that a blend of a fully parameterized and a pixel-based representation, i.e., a rectangle representation, leads to highly innovative solutions, but can still maintain an acceptable convergence behavior. This representation is revisited and its main principles and limitations are shortly discussed. The main focus of this paper is on a refinement of the source geometry representation. In previous versions, the general illumination setup had to be prespecified. Merely its parameters (e.g, inner and outer radius of annular illumination, number, offset, and radius of poles for multipole illumination) were optimized. In this work, the source is represented by a sector/track definition, which allows different sections of the illumination to have different transmission values. The obtained illumination geometry is transferred into a pixel-based representation, processable by the utilized Fraunhofer IISB in-house lithography simulator. The illumination shapes achieved with the proposed approach can, for example, be produced by diffractive optics elements (DOEs). Various merit criteria determine the imaging performance of both the mask and the source settings. As the merit or fitness function plays one of the central roles in the proposed optimization scheme, individual fitness criteria and their transformation into an objective function are revisited and shortly explained. New results for both dense and chain contact hole layouts, and a comparison with former results validate the proposed approach and illustrate its further potentials.
Developments in RET II
icon_mobile_dropdown
RELAX: resolution enhancement by laser-spectrum adjusted exposure
In this work, we demonstrate a resolution enhancement technique for DUV lithography in which the light source spectrum is modified in order to improve the imaging performance of given device patterns. With this technique, termed RELAX, the imaging depth of focus (DOF) can be improved significantly for contact holes, and potentially line-space patterns. The improvement in the DOF comes at the expense of modest deterioration of other process performance metrics, such as exposure latitude and exposure bias, due to reduced image contrast at best focus. Compared to the FLEX-based techniques, RELAX allows a continuum of tunable spectral conditions without the drawback of multiple exposure passes, which is especially critical for step-and-scan lithography. Spectrum modification is accomplished by replacing the line narrowing and wavemeter modules of the excimer laser light source with RELAX-enabled modules. Direct wavefront modification of the laser output has been demonstrated to provide the optimum method for producing a double peak spectrum, which simulation has shown to produce the maximum DOF benefit. Results from imaging experiments of attenuated-PSM contact structures exposed using 248nm dipole illumination showed DOF improvements of up to 70% with a double peak separation of about 2pm. Lateral chromatic effects at this separation were negligible. These results agreed well with previous double exposure experiments1 and simulations of some of the design structures. The process improvements were obtained without a need for re-biasing of the mask structures, although a dose adjustment was required.
Fabrication of sub 45 nm random patterns through centerline phase-shifting mask (CL-PSM)
Centerline phase-shifting mask (CL-PSM), which has narrow chromium lines at the boundaries of a μ-phase shifter, is promising as a resolution enhancement technology for random-pitch line patterns. We compared the performance of the CL-PSM in fabricating sub-45 nm lines with that of the chrome-less phase-shifting mask (CLM) in 157-nm lithography. The simulation results showed the CL-PSM is superior to the CLM in resolution and depth of focus (DOF), especially in small pitch patterns. We optimized the layouts of CL-PSM and the CLM to 40-nm-wide, 140-nm-pitch line patterns through the simulation. In exposure experiments with optimized masks, the CL-PSM resolved 40-nm-wide line patterns with a minimum pitch of 110 nm, while the resolvable minimum pitch was 130 nm for the CLM. The DOFs for 40-nm-wide, 140-nm-pitch lines were 200 and 80 nm with CL-PSM and CLM, respectively. Furthermore, we estimated the resolution limit of CL-PSM in hyper-NA 193-nm lithography, and showed a pitch of 100 nm would be achieved with a 1.4 NA optics.
Strong phase-shifting optical maskless lithography for the 65 nm node and beyond
Nicholas K. Eib, Ebo Croffie
Ever-increasing reticle cost makes optical maskless lithography an attractive alternative to mask-based technologies, particularly for low-volume runs such as prototypes, ASIC personalization, and engineering short loops. If the resolution and imaging performance of the optical maskless exposure tool can match or exceed standard reticle based scanners, then one can seamlessly integrate mix-and-match strategies into the manufacturing flow or even go to an all maskless strategy since resists and film stacks are unchanged. We have developed optical maskless analogs for a majority of the reticle based strong phase shifting techniques. These include analogs to binary, attenuated PSM, alternating PSM, CPL + assist features, and vortex reticles. We will present simulation of maskless vs. reticle based lithography of all these techniques, demonstrating how to move off grid, change CD, OPC correct through pitch, and present common feature process windows and CD / image placement error sensitivities that suggest that for certain applications, optical maskless will be superior to reticle based lithography.
Strategies of optical proximity correction dedicated to chromeless phase lithography for 65 and 45 nm node
This paper shows the capability of chromeless phase lithography (CPL) and is particularly focused on different strategies for optical proximity corrections (OPC). A chromeless phase database is easily obtained from the original layout by changing the chromium pattern into a phase pattern. However, a specific optical proximity correction has to be applied due to the phase effect and the high transmission of the mask. Mask Error Enhancement Factor (MEEF) and process window for CPL technology have been estimated through wafer exposures. Moreover, various optical proximity correction strategies have been explored through a comparison between phase and chromium features such as hammerhead, zebra and scattering bars 1,2. Indeed, depending on the density of the pattern, we can improve the contrast and the process window by changing the local transmission. The transmission can be controlled by the addition of sub resolution chromium feature such as zebra chromium transverse features on the line for dense pattern, or chromium scattering bars in the space for a sparse pattern, or chromium patches on the line end. From 65 nm node measurements and 45 nm node simulations, the authors will then present the most effective sub resolution pattern to implement.
An integrated imaging system for the 45-nm technology node contact holes using polarized OAI, immersion, weak PSM, and negative resists
John S. Petersen, Mark J. Maslow, Robert T. Greenway
Imaging contact holes has become a major technology barrier for optical lithography in the deep sub-wavelength era. Using hyper-numerical aperture, extreme off-axis illumination with TE-polarization, weak PSM and negative-acting resists 50nm contacts on a 90nm pitch can be produced with better than 0.3 micron depth-of-focus with 5% exposure latitude and maximum exposure latitude of greater than 15% at best focus. Large depth-of-focus across-pitch range solutions for 50nm contacts require the use of multiple exposures using unique sources but smaller focus budgets can be reduced to single exposure. This work defines possible integrated imaging systems that will allow imaging of deep sub-wavelength sized contact holes and then compares these to other solutions that have been proposed in the literature. Specifically, source design through normalized-image-log-slope, normalized-resist-image-log-slope and process window mapping, development of contact hole primitives using full mask transform correction (where the mask pattern shape, material and topography are taken into account) and resist requirements will be discussed for developing dense, mid-range and isolated pitch contact hole imaging solutions for the 45nm technology node.
Image and Process Modeling II
icon_mobile_dropdown
Solving inverse problems of optical microlithography
The direct problem of microlithography is to simulate printing features on the wafer under given mask, imaging system, and process characteristics. The goal of inverse problems is to find the best mask and/or imaging system and/or process to print the given wafer features. In this study we will describe and compare solutions of inverse mask problems. Pixel-based inverse problem of mask optimization (or "layout inversion") is harder than inverse source problem, especially for partially-coherent systems. It can be stated as a non-linear constrained minimization problem over complex domain, with large number of variables. We compare method of Nashold projections, variations of Fienap phase-retrieval algorithms, coherent approximation with deconvolution, local variations, and descent searches. We propose electrical field caching technique to substantially speedup the searching algorithms. We demonstrate applications of phase-shifted masks, assist features, and maskless printing.
The impact of mask topography on binary reticles at the 65nm node
Most lithography simulation software, such as OPC decoration engines, employ the thin-mask approximation for imaging calculations. While it is well known that a more rigorous approach is often needed for alternating phase-shift reticles, a solution to the full Maxwell equations is rarely used for binary masks. In the past, both the patterns and the thickness of the patterns for COG and attenuated PSM were relatively small compared with the illuminating wavelength. For the future technology nodes, this will not be true. For example, scattering bars are typically a quarter to a third of the size of the main feature. This means that a 65nm isolated line will typically have 65nm to 85nm assist features (mask scale). We have found a non-constant bias through pitch for low k1 imaging that is not found with the thin-mask approach. COG and attenuated binary masks are simulated for varying feature sizes and for both lines and spaces.
Physically based compact models for fast lithography simulation
Among other requirements, a state-of-the-art lithography process is composed of advanced hardware tools and resolution enhancement techniques (RET). The successful application of these techniques depends on fast and accurate simulation of the pattern transfer process. In this paper, state-of-the-art modeling techniques are investigated and compared with on-wafer measurement results obtained using a 0.75NA ArF scanner. In particular, the correct separation of optical and process effects in a TCCcalc lithography model is evaluated by comparison of experimental results to simulation results, based on systematic changes of the process conditions. Statistical fits are generated to compare measured vs. simulated data sets. In each experiment, the model correctly predicts the process behavior, and error levels remain constant or within several nanometers of the baseline conditions. CD-SEM images of several patterns at various conditions were overlaid to simulated printed images. The overlaid images show good prediction of final wafer printed images, even under non-baseline conditions. This evaluation confirms the separation between optical and resist model.
Mask Polarization Effects
icon_mobile_dropdown
Determination of mask-induced polarization effects occurring in hyper NA immersion lithography
As the lithographic projection technology of the future will require higher numerical aperture (NA) values, new physical effects will have to be taken into consideration. Immersion lithography will result in NA values of up to 1.2 and above. New optical effects like 3D shadowing, effects from oblique incident angles, mask-induced polarization of the transmitted light and birefringence from the substrate should be considered when the masks optical performance is evaluated. This paper addresses mask induced polarization effects from dense lines-and-space structures of standard production masks. On a binary and on an attenuated phase-shifting mask, which were manufactured at the Advanced Mask Technology Center (AMTC) transmission experimental investigations were performed. Measurements of diffraction efficiencies for TE- and TM-polarized light using three different incident angles are presented for all considered mask types and compared to simulations. The structures under investigation include line-space-pattern with varying pitches as well as varying duty cycles. Experimental results show good agreement with simulations.
Mask induced polarization effects at high NA
It is important to understand how a photomask will polarize incident radiation. This paper presents data collected on binary mask and various attenuated phase shifting mask materials, feature sizes, duty ratios, and illumination schemes via rigorous coupled wave analysis, extinction spectroscopy, and 193nm lithographic evaluation. Additionally, the result of polarization effects due to the photomask on imaging has been studied. It was found that in the majority of the cases, higher NA led to greater polarization effects. All mask materials predominantly pass the TM polarization state for the 0 order, whereas different materials and duty ratios affect the polarization of the first diffracted orders differently. The polarization effects contributed by mask materials being considered for use in high NA imaging systems need to be examined. The degree of polarization as a function of n and k is presented, providing an introduction to the desirable properties of future mask materials. Materials with higher refractive indices and lower extinction coefficients tend to pass more of the TM polarization state, which is undesirable. Materials with lower indices and relatively wide range of extinction coefficients pass more TE polarized radiation. The duty ratio, critical dimension, mask material, material thickness, and illumination scheme all influence mask induced polarization effects.
Three-dimensional rigorous simulation of mask-induced polarization
Xiuhong Wei, H. P. Urbach, Arthur Wachters, et al.
The polarization induced by the mask is studied by using a 3D rigorous model, which solves Maxwell equations using the finite element method. The aerial image depends strongly on the change of polarization induced by the materials, thickness of the layer and pitch of the periodic masks.
Influence of mask induced polarization effects on a pattern printability
Through ArF immersion lithography a road towards increased optical resolution at the 193nm wavelength has been opened. According to recently proposed roadmaps, ArF immersion lithography will be used for 65nm and 45nm technology nodes. Consequently, keeping the same 4x optical demagnification factor, the dimensions on mask scale down to wavelength values when entering these nodes. Moreover CD control becomes tighter and approaches values of 2-3nm. At such conditions, topography on mask, its type and materials cannot be ignored anymore while evaluating image formation either for design analysis or OPC adjustments. The objective of this paper is to analyze the influence of mask topography on imaging. The mask topography influences polarization state and diffraction efficiencies, which are determine further image formation. Therefore these parameters and their dependence on mask type, materials and pitches are of the major concern during the analyses. We analyze the process latitude and CD variations through pitch. The complete rigorous analysis shows improved process windows with the increase of feature aspect ratio and at the same time a large through pitch CD deviation compared to the conventional Kirchhoff diffraction model.
Investigation of polarization effects on new mask materials
As microlithography moves to smaller critical dimensions, structures on reticles reach feature sizes comparable to the operating wavelength. Furthermore, with increasing NA the angle of incidence of light illuminating the mask steadily increases. In particular for immersion lithography this will have severe consequences on the printing behavior of reticles. Polarization effects arise which have an impact on, among other things, the contrast of the printed image. Angular effects have to be considered when aggressive off-axis illumination schemes are used. Whereas numerous articles have been published on those effects and the underlying theory seems to be understood, there is a strong need for experimental verification of properties of real masks at the actinic wavelength. This paper presents measurements of polarization effects on different mask blank types produced at Schott Lithotec including chrome and alternative absorber binary mask blanks, as well as phase shift mask blanks. Thickness and optical dispersion of all layers were determined using grazing incidence x-ray reflectometry (GIXR) and variable angle spectroscopic ellipsometry (VASE). The set of mask blanks was patterned using a special design developed at the Advanced Mask Technology Center (AMTC) to allow measurements at different line width and pitch sizes. VUV Ellipsometry was then used to measure the properties of the structured materials, in particular the intensities in the 0th and 1st diffraction order for both polarization directions and varying angle of incidence. The degree of polarization of respective mask types is evaluated for dense lines with varying pitches and duty cycles. The results obtained experimentally are compared with simulations based on rigorous coupled wave analysis (RCWA).
Experimental measurements of diffraction for periodic patterns by 193-nm polarized radiation compared to rigorous EMF simulations
Marylyn Hoy Bennett, Andrew Grenville, Scott D. Hector, et al.
Polarization dependent diffraction efficiencies in transmission through gratings on specially designed masks with pitch comparable to the wavelength were measured using an angle-resolved scatterometry apparatus with a 193 nm excimer source. Four masks - two binary, one alternating and one attenuated phase shift mask - were included in the experimental measurements. The validity of models used in present commercially available simulation packages and additional polarization effects were evaluated against the experimental scattering efficiencies.
Advanced Lithographic Materials
icon_mobile_dropdown
High-index materials for 193 nm immersion lithography
193 nm immersion lithography optical projection systems using conventional UV optical materials and water as the immersion fluid, with planar lens/fluid interfaces, have a practical numerical aperture (NA) limit near 1.3. The bottleneck for pushing the NA further is the refractive index of the final lens element. Higher-index immersion fluids cannot alone give much improvement, because the NA is limited by the lowest material index. In this paper we consider the possibility of using novel high-index materials in the last lens element to get around this bottleneck and to push the NA limit to at least 1.5, while containing the lens system size and complexity. We discuss three classes of high-index (n>1.8), wide-band-gap, oxide-based materials that have the potential for being fabricated with optical properties appropriate for lithography optics: group-II oxides, magnesium-aluminum-spinel-related materials, and ceramic forms of spinel. We present theoretical calculations and experimental measurements of the optical properties of these materials, including intrinsic birefringence, and we assess their prospects.
High refractive index immersion fluids for 193 nm immersion lithography
For the next-generation immersion lithography technology, there is a growing interest in the immersion fluids having a refractive index larger than 1.5 and low absorbance at 193nm wavelength. In this paper, we report our effort in identifying new immersion fluid candidates. The absolute refractive index values and thermo-optic coefficients, dn/dT, were measured with 1x10-4 and 1x10-5 accuracy respectively at 193nm wavelength. The results showed promising candidates having refractive index ranging from 1.5 to 1.65 with low absorbance at 193nm wavelength. Preliminary imaging results with a new immersion fluid gave good 65nm Line/Space patterns. However, the minimum exposure time of 20sec is about ten times as needed for water, indicating the need to further reduce the absorbance of the immersion fluid.
Immersion lithography fluids for high NA 193 nm lithography
Immersion lithography has become attractive since it can reduce critical dimensions by increasing numerical aperture (NA) beyond unity. Among all the candidates for immersion fluids, those with higher refractive indices are desired. However, for many of the fluids, the strong absorption at 193nm becomes a serious problem. Therefore, it is essential to find a fluid that is transparent enough (with absorbance less than 0.5mm-1) and has high refractive index (above water, 1.44) at 193nm. Characterization of various fluid candidates has been performed and the absorbance of these fluids has been measured. To measure the absolute refractive index, a prism deviation angle method was developed. This method offers the possibility of measuring fluid refractive indices accurately. This paper also presents the obtained refractive indices of these fluids. Several candidates have been identified for 193nm application with refractive indices near 1.55, which is about 0.1 higher than that of water at this wavelength. Cauchy parameters of these fluids were generated and approaches were investigated to tailor the fluid absorption edges to be close to 193nm. The effects of these fluids on photoresist performance were also examined with 193nm immersion lithography exposure at various NA's. 1.5 NA was obtained to image 32nm lines with phosphoric acid as the immersion medium. These fluids are potential candidates for immersion lithography technology.
Laser-induced birefringence in fused silica from polarized lasers
Fused silica, when exposed to excimer laser light, exhibits permanent anisotropic birefringence and wavefront changes. These laser-induced changes depend on the silica composition and processing conditions. The optical anisotropy is most clearly observed in samples that are exposed with linear polarization. This polarization-induced effect has been known for several years, but has become much more important with the advent of immersion lithography and its associated very high numerical apertures. High numerical aperture optics require controlled polarization, notably linear polarization, in order to maintain phase contrast at the image. When birefringence and wavefront changes are induced by laser exposure, the image contrast at the wafer deteriorates. We interpret the changes in optical properties in terms of permanent anisotropic strain induced by laser damage, and the associated strain-induced optical effects. This is accomplished using the mathematics of tensors to account for anisotropic strain and optical anisotropy, and using finite element analysis to calculate the strain fields taking the sample and exposure geometries into account. We report the relations between underlying density and strain anisotropy changes and the induced birefringence and wavefront for a given experimental sample geometry. We also report some examples of the different degree of laser damage from silica with different compositions and processing conditions.
Long-term 193-nm laser irradiation of thin-film-coated CaF2 in the presence of H2O
The final projection lens element in a 193-nm immersion-based lithographic tool will be in direct contact with water during irradiation. Thus, any lifetime considerations for the lens must include durability data of lens materials and thin films in a water ambient. We have previously shown that uncoated CaF2 is attacked by water in a matter of hours, as manifested by a substantial increase in AFM-measured surface roughness.1 Thus, CaF2 lenses must be protected, possibly by a thin film, and the coatings tested for laser durability in water. To address the above lifetime concerns, we have constructed a marathon laser-irradiation system for testing thin film exposure to water under long-term laser irradiation. Coated substrates are loaded into a custom water cell, made of stainless steel and Teflon parts. Ultrapure water is delivered from a water treatment testbed that includes particle filtration, deionization and degassing stages. In-situ metrology includes 193-nm laser ratiometry, UV spectrophotometry and spectroscopic ellipsometry, all with spatial profiling capabilities. In-situ results are coupled with off-line microscopy, AFM measurements and spatial surface mapping with spectroscopic ellipsometry at multiple incidence angles. A variety of laser-induced changes have been observed, from complete adhesion loss of protective coatings to more subtle changes, such as laser-induced index changes of the thin films or surface roughening. Implications of the study on expected lifetimes of the protective coatings in the system will be discussed.
Advanced Exposure Systems and Components I
icon_mobile_dropdown
Full-field exposure tools for immersion lithography
Immersion lithography is becoming a realistic method of high resolution pattern generation for semiconductor manufacturing. Nikon has a roadmap of full-field immersion exposure tools starting with an Engineering Evaluation Tool (EET, NA=0.85), succeeded with production models of S609B (NA=1.07) and S6xx (NA=1.30). EET was constructed in 2004, and is being used for evaluation of immersion technology and process development. With EET, focus, stepping, overlay and across-wafer CD uniformity data are demonstrated to be better or equivalent to dry tools, while the depth of focus (DOF) is significantly improved as expected. A remarkable point is the defectivity result with EET. We have detected no bubbles and a negligible level of “immersion specific” defects even with hydrophobic top coat. A production model S609B will have the NA=1.07 optics, which will be the highest NA of “all refractive optics”, and will be shipped at 2005/4Q. S6xx, with planned shipment timing is 2006/2H, will have NA=1.30 catadioptric optics, whose NA will be the highest NA of “water-immersion”. Both S609B and S6xx will be equipped with loss-less polarized illuminators, which will enable 50nm L/S with S609B and 42nm L/S with S6xx. Resist and top coat are studied from the viewpoints of chemical contamination and scanning properties. Tentative specifications are proposed for leaching of PAG and amines against chemical contamination. As for scanning properties, static contact angle was found to be not a good parameter; instead, sliding angle is proposed.
Development of polarized-light illuminator and its impact
Hisashi Nishinaga, Noriaki Tokuda, Soichi Owa, et al.
Nikon has developed an illuminator with special options for RET (Resolution Enhancement Technique). For one of the solutions of RET, Nikon has pursued the development of a loss-less polarized illumination system. When the polarization direction is the same as the direction of the printed pattern, this technique improves image contrast and extends the process margin. We have simulated the impact of the RET with polarized illumination, in the case of dipole illumination and phase-shift masks, and we have estimated the dominant parameters for high performance polarized illumination. In addition, we have constructed a polarized-light illuminator and installed it in an ArF full-field scanner. We have measured and optimized the degree and distribution of polarization at the wafer plane with a special tool, and we have investigated image performance with polarized dipole illumination. Results show that the new polarized-light illuminator has extended the process margin, especially with respect to dose latitude. The results of the image simulations and experiments will be reported.
Lithographic performance of a dual-stage 0.93NA ArF step and scan system
Rian Rubingh, Marco Moers, Manfred Suddendorf, et al.
This paper presents lithographic performance results obtained from the newest member of ASML's TWINSCAN platform-based step & scan systems, the TWINSCAN XT:1400. The system has been designed to meet the semiconductor industry's aggressive requirements on CD control, overlay and productivity at and below the 65 nm node. This dual stage 193 nm lithographic system combines the worlds highest NA, with excellent overlay and CD control at high throughput on both 200 and 300 mm wafers and is intended for use in volume production environments. Advances in stage technology have enabled further extension of stage scan speeds and an associated increase in tool productivity. However, maximizing the number of yielding die per day also requires stringent overlay and Critical Dimension (CD) control. Tight CD control at improved resolution is supported by the Starlith 1400 projection lens and the extended sigma capabilities of the new AERIAL-E illumination system. Focus control is improved in line with the stringent requirements posed by low-k1 imaging applications, taking full advantage of the unique dual-stage TWINSCAN system architecture.
Development of ArF immersion exposure tool
Immersion lithography systems with a 193 nm light source are being pursued in the industry. This paper presents the results of the study we have made on various aspects of the exposure system, and gives the status of exposure system development together with the challenges involved. If there are fluctuations in the flow rate of immersion fluid, i.e. ultrapure water, the positioning accuracy of the wafer stage may be affected. Similarly, temperature changes in the fluid can significantly influence imaging performance of the projection optics. We have developed an ultrapure water supply control system which allows direct connection to the ultrapure water line of the existing fabs and enables constant-temperature, constant-flow rate control of the water with high stability. The evaluation results of this system will be shown. Photoresist materials such as photo-acid generator, PAG, dissolved into the water are a cause of concern for lens contamination. The challenge for exposure tool suppliers in terms of contamination control is to specify the permissible dissolution amount. To this end, wet contamination tests are in progress, and the findings to date will be discussed in this paper. Two verification tools for immersion exposure are built: a two-beam interference exposure tool and a full-field alpha-site scanner. Using the alpha tool, the evaluation results of full wafer CD uniformity including edge dies will be presented. Also, defect analysis results will be shown, specifically the impact of air bubbles on patterning.
Analysis of imaging properties for hyper-NA ArF immersion lithography
Yoshiyuki Sekine, Miyoko Kawashima, Kenji Yamazoe, et al.
As imaging properties of ArF Immersion optics are evaluated in a hyper-NA region, the polarization of illumination systems and vectorial mask diffraction play an important role. We investigate the effectiveness of polarized illumination for practical patterns including the border of dense line-and-space (L/S) patterns, semi-dense L/S patterns, isolated lines, and contact holes. The results show that polarized illumination is effective in projecting many patterns except semi-dense L/S patterns and relatively large contact holes. Secondly, we examine how bias settings of alternating phase-shift masks (AltPSMs) are affected by vectorial mask diffraction, which depends on the polarization of incident light and feature size on the mask. Although a reduction ratio of 8x facilitates bias settings compared with that of 4x, it is necessary to take into account the effect of vectorial mask diffraction even in the case of 8x. Since polarized illumination also simplifies bias settings, the illumination is useful for 4x projection optics. High-index fluids have recently attracted considerable attention because they are capable of extending the numerical aperture of projection optics beyond the refractive index of water (n=1.44). We study imaging properties of 1.50NA projection optics with an immersion fluid of n=1.64 and the preliminary requirements of fundamental optical characteristics of the fluid.
Immersion lithography exposure systems: today's capabilities and tomorrow’s expectations
Jan Mulkens, Bob Streefkerk, Martin Hoogendorp, et al.
In less than two years immersion lithography has been developed from curiosity to viable technology for IC manufacturing. With water as immersion fluid ArF immersion offers the potential to extend conventional optical lithography to at least the 45-nm node. By slightly modifying “dry lenses” it is possible to use the immersion option as enhancement for the focus window. With immersion the DOF can be increased with 50% and more. ASML has developed and shipped ArF immersion TWINSCAN systems with lens NA’s of 0.75 and of 0.85. In the near future immersion systems with 0.93 NA and >1.1NA will become available. In this paper we discuss the experimental results obtained on the TWINSCAN immersion systems. In the first part we discuss the experimental results obtained with the 0.75 NA and 0.85 NA immersion systems. It is demonstrated that basic system performance is maintained in case of immersion, while the imaging performance is improved significantly. We present data on resolution capability, full wafer CD uniformity, lens aberrations and single machine overlay. In the last part of the paper we will give an outlook to the next generations of immersion systems. This will include a discussion on the possible use of high index fluids and what resolution can be reached ultimately.
Advanced Exposure Systems and Components II
icon_mobile_dropdown
Progressive ArF exposure tool for 65nm node lithography
To meet shrinkage demands of device pattern size, a new platform ArF exposure tool , NSR-S308F, has been developed with an extremely high NA projection lens. This equipment has been developed not only for ensuring better imaging of dry ArF, but also for achieving imaging enhancement of immersion ArF. To satisfy imaging and overlay accuracy requirements for 65nm node lithography, the heat management, body stiffness, and reaction force canceling system have been drastically improved. Optimized illumination conditions and polarized illumination1 have been developed to expand the severe process margin for ArF dry exposure tools. In addition, some applications support: the maximization of imaging performance of S308F; the aerial image measurement function2 to correct aberration of projection lens; the optimization software of lens aberration in a specific device pattern, and special software to realize excellent mix and match accuracy. Latest evaluation results and the improvement items of S308F will be presented.
Imaging enhancements by polarized illumination: theory and experimental verification
The polarization properties of light become more and more important as numerical apertures of the projection lens increase. With unpolarized light the contrast of the image is degraded because of poor interference of the TM component of the light. By applying only TE linear polarized illumination light, the contrast loss can be minimized. The challenge will be to control the polarization variation throughout the imaged field. Besides contrast also the light incoupling in the resist depends on polarization. The different polarization directions (TE and TM) induce virtual dose differences. Immersion lithography reduces this effect due to reduced incident angles at a given lens NA. In the upcoming era beyond 0.9 NA, imaging enhancements by polarized illumination are needed. There are several components in a lithographic scanner which potentially influence polarization properties. Apart from illuminator and projection lens the reticle blank and the patterned mask absorber including 3D effects may impact the final intensity distribution in the resist. Last but not least the ability to measure the polarization state is a prerequisite to actively control polarization within the exposure system. The ability to assess the unpolarized and polarized projection lens performance with the on-scanner interferometer (ILIASTM) allows us to do this. In order to verify the benefits and challenges of polarized illumination systems, we built a prototype illuminator and tested it on both a 0.85 NA ArF system as well as on a 0.93 NA ArF system. Next to the successful qualification of illuminator and projection lens we were able to verify the expected gain in imaging performance with polarized light. In this paper we present results of the experimental work and compare the data with our simulations.
Amphibian XIS: an immersion lithography microstepper platform
Recent advances in immersion lithography have created the need for a small field microstepper to carry out the early learning necessary for next generation device application. Combined with fluid immersion, multiple-beam lithography can provide an opportunity to explore lithographic imaging at oblique propagation angles and extreme NA imaging. Using the phase preserving properties of Smith Talbot interferometry, the Amphibian XIS immersion lithography microstepper has been created for research and development applications directed toward sub-90nm patterning. The system has been designed for use at ArF and KrF excimer laser wavelengths, based on a fused silica or sapphire prism lens with numerical aperture values up to 1.60. Combined with a chromeless phase grating mask, two and four beam imaging is made possible for feature resolution to 35nm. The approach is combined with X-Y staging to provide immersion imaging on a microstepper platform for substrates ranging up to 300mm. The Amphibian system consists of single or dual wavelength sources (193nm and 248nm), a 2mm exposure field size, stage accuracy better than 1 um, polarization control over a full range from linear polarization to unpolarized illumination, full control of exposure dose and demodulation (to synthesize defocus), and the ability to image both line patterns as well as contact features. A fluid control system allows use of water or alternative fluids, with the ability to change fluids rapidly between wafers. The Amphibian system is fully enclosed in a HEPA and amine controlled environment for use in fab or research environments.
XLA-200: the third-generation ArF MOPA light source for immersion lithography
The first generation MOPA-based ArF laser XLA-100 was introduced in January 2003 in response to the needs of the high NA ArF scanners for higher power and narrower spectral bandwidth. The second generation product XLA-105 was introduced in early 2004. This paper presents our third generation MOPA-based ArF laser product XLA-200 that is designed and engineered to meet the light source requirements of the ArF immersion lithography. It is expected to be used for 65-nm and 45-nm volume production of semiconductor devices. The XLA-200 is capable of producing a 60W of ultra-line-narrowed 193nm light with the FWHM bandwidth of less than 0.15pm and the E95% integral bandwidth of less than 0.35pm. It features state-of-the-art on-board bandwidth metrology tool that measures E95% bandwidth as well as FWHM. Real-time accurate bandwidth information can be utilized for lithography exposure tool feedback control. The improved dual-chamber laser gas control ensures excellent bandwidth stability, which enables tighter CD control. Together with a lower cost of ooperation, the XLA-200 sets a new performance level for the dual chamber 193nm light source for microlithography.
High-power injection lock laser platform for ArF dry/wet lithography
H. Mizoguchi, T. Inoue, J. Fujimoto, et al.
193-nm lithography is moving from the pre-production to the mass production phase and its target node is shifting from 90 nm to 65 nm. And now the ArF-immersion technology is spotlighted as the enabling technology for below 45nm node1). 157nm lithography is still important for next generation node below 45 nm as backup technology2). Gigaphoton has already released G40A (20W, 0.35pm) in 2001, G41A (20W, 0.30pm)3) in 2002, G42A (20W, 0.25pm)4) in 2003 to the advanced lithography market. On the other hand, since 1998 we have been developing high power 157nm light source for micro lithography with injection lock technology in research phase5)6). We have demonstrated a 30W, 0.12pm, @157nm line narrowed light source for microlithography with "Injection lock technology"1)2). Based on this injection lock technology, we have successfully developed "GigaTwin", a high power injection lock laser platform for 193nm lithography system. We have already released a high power ultra narrowed ArF laser "GT40A" (45W, 4000Hz, 11.25mJ, 0.18pm), with the GigaTwin platform.
Immersion Lithography Materials Challenges
icon_mobile_dropdown
Liquid immersion lithography at 157 nm
Takuya Hagiwara, Toshiyuki Ishimaru, Shou Tsuji, et al.
We performed an initial evaluation of 157-nm immersion lithography. The 157-nm immersion fluid needs to have both a high refractive index and high transmittance at a wavelength of 157 nm. This paper focuses on the transparency of the fluid. We evaluated the transparency of straight-chain perfluoroalkane and perfluoroether using a semi-empirical molecular orbital method. We found that perfluoroether has lower absorption at 157 nm than perfluoroalkane, and increasing the amount of ether bonds in perfluoroether can further reduce the absorption. Moreover, we found that designing the molecular structure with ether bonds so that the number of successive CFx is balanced should further improve transparency. Although the commercial perfluoropolyether BARRIERTA® J25V contains a trifluoromethyl group in one of its side-chains, it satisfied the above conditions and achieved high transmittance of 1.0 cm-1 at 157 nm. The sensitivity characteristics of the XP2332C and F-SSQ resists were evaluated by dry and immersion exposure using BARRIERTA® J25V immersion fluid, and no noticeable changes were seen in the development contrast for either exposure condition for either of these two resists. To perform 157-nm immersion exposures, we constructed a Michelson interferometric exposure tool, which let us create an interference pattern with sufficient optical contrast. We obtained a resolution of 60-nm line-and-space pattern having a good rectangular shape by immersion exposure using this interferometric exposure tool, F-SSQ resist, and BARRIERTA® J25V immersion fluid without using a top-coat.
Second generation fluids for 193 nm immersion lithography
Sheng Peng, Roger H. French, Weiming Qiu, et al.
Water is the first generation immersion fluid for 193 nm immersion lithography. With a fluid refractive index of 1.436 and an optical absorbance of 0.01/cm at 193 nm, water immersion technology can enable optical lithography for the ITRS’ 65 nm half-pitch node. However, to achieve numerical apertures above 1.35 and to go beyond the 45 nm node, low absorbance fluids with indices higher than 1.6 are needed for the second generation of immersion lithography. We have developed a number of Gen. 2 high index fluids for immersion lithography at 193 nm. These highly transparent fluids have 193 nm indices up to 1.67. 32 nm 1:1 line space imaging has been demonstrated using two of our Gen 2 candidate fluids, representing major advance in optical lithography. To understand the behavior and performance of different fluid classes, we use spectral index measurements to characterize the index dispersion, coupled with Urbach absorption edge analysis, and Lorentz oscillator modeling.
Poster Session: Low-K1 Process Control and Performance
icon_mobile_dropdown
CD control: Whose turn is it?
Wolfram Koestler, Martin Rossiger, Stephan Wege, et al.
With the introduction of sub100nm nodes lithography faces drastically decreasing process windows and ever more demanding CD specifications at the same time. Inline process control usually allows only a few measurement sites per wafer due to throughput limitations at the CD metrology tool. The drawback is that these data do not show the real process capability with respect to CD control. Such a comparatively small number of measurement sites provides only limited information about systematic signatures of the investigated processes. However, during the setup of design rules it is assumed that CD deviations are purely statistical. Moreover, the CD budget is statistically divided into a certain ratio between the involved process steps (i.e. mask process, lithography and etch). As systematic effects cannot be taken into account in this procedure there arises the necessity to investigate the major signatures of all involved process steps and to minimize them as much as possible. This paper presents the recent CD uniformity analysis results of different critical low k1 lithography layers and the following etch process steps. In particular a line/space level and a contact hole level of a 90nm state of the art DRAM process in the 300mm line are investigated as part of the design rule verification. Inline sampling results and results from extensive intra field, intra wafer and wafer to wafer measurements are compared. Inline sampling gives a slightly different overall CD performance than the extensive measurements. This deviation can be explained by the strong systematic effects which dominate intra field and intra wafer CD uniformity after all process steps. Their major source is found to be at certain etch processes. As a consequence of these results the inline sampling plan must be adjusted and systematic effects with a focus on the etch processes have to be reduced as much as possible.
Robust lithography process control methodology anticipating CD after etching using scatterometry below 65nm node
As the pattern feature-size of devices shrinks down to below 65 nm, it becomes more important to establish methods to control lithographic critical dimension (CD) that enable better controllability of CD after etching. This paper introduces new methods to control and optimize lithography process by precisely anticipating CD after etching. Before establishing the methods, relationships between CD after resist development and after etching were measured by using a scatterometry (iODP100 by Tokyo Electron Ltd.). As CD and side wall angle (SWA) of resist profiles can be controlled independently by adjusting exposure dose and focus offset in lithography process, it is possible to control the CD after etching by adjusting them. Moreover, since current lithography and etching process are designed based on CD budgets that have several elements such as intra-shot, intra-wafer, wafer-to-wafer and lot-to-lot CD uniformities, it is preferable to control total CD errors after etching by adjusting the elements in lithography process in anticipation of CD after etching. In addition, it is also important to control CD for various patterns, such as isolated, dense, and other patterns in various design layouts. As current optical proximity effect correction (OPC) techniques can not fully eliminate pattern density effects induced by etching, controlling CD by adjusting lithography conditions to compensate such effects will be one of the feasible solutions.
Hurdles in low k1 mass production
As the optical lithography pushes toward its theoretical resolution limit 0.25k1, the application of aggressive Resolution Enhancement Techniques (RETs) are required in order to ensure necessary resolution, sufficient process window, and reasonable MEEF in critical layers. When chip makers are adopting RETs in low k1 device, there are a lot of crucial factors to take into account in the development and mass production. Those hurdles are not only difficult to overcome but also highly risky to the company, which adopts low k1 mass production strategy. But, low k1 production strategy is very attractive to all chip makers, owing to improving production capacity and cost of ownership. So, low k1 technology has been investigated by many lithography engineers. Lots of materials have been introduced. Most of them are just in RnD level. In this study, low k1 mass production issues shall be introduced, mainly. The definition of low k1 in mass production shall be suggested. And, a lot of low_k1 issues shall be introduced, also. Most of them were investigated/experienced in RnD development stage and final mass production line. Low k1 mass production, is some what different from only RnD development.
The application criterion of model-based optical proximity correction in a low k1 process
As k1 factor approaches the theoretical limit, optical proximity correction (OPC) treatments necessary to maintain dimensional tolerances involve increasingly complex correction shapes. This translates to more detailed, or larger mask pattern databases. Moreover, development of exposure tools lags behind the shrinkage of device. This may result in dwindling of process margin in lighographic process despite using all possible resolution enhancement techniques (RETs). Although model-based OPC may lose its effectiveness in case of narrower photolithographic process margin, model-based OPC is recognized as a robust tool to cope with the diversity of layout. By the way, in case of narrower photolithographic process margin, model-based OPC lose its effectiveness. To enhance the usefulness of the OPC, we need to overcome many obstacles. It is supposed that the original layout be designed friendly to lithography to enhance the process margin using aggressive RETs, and is amended by model-based OPC to suppress the proximity effect. But, some constraints are found during an OPC procedure. Ultimately, unless the original lithgraphy friendly layout (LFL) is corrected in terms of pitches and shapes, the lithography process is out of process window as well as makes pattern fidelity poor. This paper emphasizes that the application of model-based OPC requires a particular and unique layout configuration to preserve the process margin in the low k1 process.
Wavefront-based tool selection for critical level imaging
Jacek K. Tyminski, John Lewellen
IC manufacture often has to meet stringent requirements pushing the imaging tools beyond their limits. Selection and optimization of steppers used to image patterns with critical dimensions at a fraction of wavelength has to consider tool’s aberration residue and the imaging tradeoffs of the patterned features. This report presents methodology to select tool-specific, multi-feature optima for imaging tools performing beyond their design points.
Illumination and multi-step OPC optimization to enhance process margin of the 65nm node device exposed by dipole illumination
Soo-Han Choi, Tae-Hoon Park, Eunsung Kim, et al.
The k1 factor of the 65nm node device approaches to around 0.3 or even below because the device shrinking is much faster than the development speed of the high NA ArF scanner. Since the conventional model-based OPC (MBOPC) is only focused on patterning of the layout on the wafer as exactly same as the original design, it can hardly guarantee enough process margin in the low-k1 lithography regime. In this paper, illumination shape and retargeting rule of the multi-step OPC are optimized to improve the process margin of the 65nm node memory device. Sigma width and open angle of the dipole illumination is optimized to resolve the minimum pitch and to maintain the critical dimension (CD) uniformity. Even though the illumination is optimized and litho-friendly layout (LFL) [1] is applied, there is the process weak point caused by the device architecture. Applying the full-chip level verification, it is found that most of process weak points exist in isolated and semi-dense patterns of the core and peripheral region. The full-chip level verification uses the vector thin film model for the accurate resist image simulation of the high NA scanner. As the mask error enhancement factor (MEEF) is getting larger in the 65nm node device, the mask mean to target (MTT) rises as the dominant factor of the process margin. The NILS according to mask MTT variation is adopted as criterion for the process weak point extraction. Since the NILS of process weak point can be improved by the increasing pattern with, retargeting rules such as selective bias and pattern shift are applied. Under the dipole illumination, the NILS distributions of parallel and perpendicular patterns are different and the different retargeting rules are applied to them. Applying proposed illumination and multi-step OPC optimization to the 65nm node memory device, we have validated that our methodology can insure enough process margin for the volume production.
Advanced Exposure Systems and Components II
icon_mobile_dropdown
65nm node gate pattern using attenuated phase shift mask with off-axis illumination and sub-resolution assist features
Among the valid gate pattern strategies for the 65nm technology node, att-PSM offers the advantage in cost and mask complexity over other contenders such as complimentary alt-PSM and chromeless phase lithography (CPL). A combination of Quasar illumination and sub-resolution assist features (SRAFs) provides a through pitch solution with a common depth of focus (DOF) better than 0.25um to support the aggressive scaling in both logic and high density SRAM. A global mask-source optimization scheme is adopted to explore the multi-dimensional space of process parameters and define the best overall solution that includes scanner optics such as NA and illumination, and SRAF placement rules for 1-dimensional line and space patterns through the full pitch range. Gate pattern capabilities in terms of DOF, exposure latitude, mask error enhancement factor (MEEF), optical proximity correction (OPC), CD control, and aberration sensitivity are reported in this paper. Conflict resolution and placement optimization are key to the success of implementation of SRAF to the complex 2-dimensional layouts of random logic. Reasonable CD control can be achieved based on the characterization and simulation of CD variations at different spatial and processing domains from local to across chip, across wafer, wafer-to-wafer, and lot-to-lot. Certain layout restrictions are needed for high performance devices which require a much tighter gate CD distribution. Scanner optimization and enhancement such as DoseMapper are key enablers for such aggressive CD control. The benefits, challenges, and possible extensions of this particular approach are discussed in comparison with other techniques.
Poster Session: Low-K1 Process Control and Performance
icon_mobile_dropdown
An investigation of the photolithographic contributors to wafer-level colinearity in thin film head manufacturing
Thin film heads for the hard disk drive industry are fabricated with equipment and processes similar to integrated circuits. One key difference between IC and thin film head (TFH) manufacturing involves the separation of devices following the completion of the wafer-level processing. As a result, the relative placement of individual devices on the wafer, commonly referred to as colinearity, is extremely important and will impact individual device performance and overall yield. Application of advanced KrF step-and-repeat tools is common in TFH manufacturing, but ArF and KrF step-and-scan systems are just being introduced to achieve the imaging performance required for next generation TFH devices. This change will also improve colinearity performance and enable additional degrees of freedom for optimization. Colinearity performance is comprised of individual metrics similar to those in traditional IC overlay budgets with some unique differences. This paper will present error budgets highlighting the photolithographic contributors to colinearity for both step-and-repeat and step-and-scan systems. These budgets will then be populated with experimentally collected performance data for current step-and-repeat and step-and-scan systems. The benefits of step-and-scan colinearity performance relative to that of step-and-repeat will be highlighted along with additional modes of optimization enabled via step-and-scan lithography.
Alignment robustness for 90 nm and 65 nm node through copper alignment mark integration optimization
Scott Warrick, Paul Hinnen, Rob Morton, et al.
In this paper, methods for stacking ASML scribe lane alignment marks (SPM) and improving the mark performance at initial copper metal levels are discussed. The new mark designs and the theoretical reasons for mark design and/or integration change are presented. In previous joint publications between ASML and Freescale Semiconductor [1], improved overlay performance and alignment robustness for Back End Of Line (BEOL) layers by the application of stacked scribe lane marks (SPM) was presented. In this paper, further improvements are demonstrated through the use of optimized Versatile Scribe Lane Mark design (VSPM). With the application of stacked optimized VSPM-marks, the alignment signal strength of marks in the copper metal layer is increased compared to stacked SPM marks. The gains in signal strength stability, which is typical for stacked marks, as well as significantly reduced scribe lane usage, are also maintained. Through the placement of specially designed orthogonal scatter-bars in selected layers under the VSPM-marks, the alignment performance of initial inlaid metal layers is improved as well. The integration of these marks has been evaluated for the 90 nm and 65 nm technology nodes as part of a joint development program between the Crolles2 Alliance and ASML. A measured overlay improvement of ~10-15% was obtained by a strategy change from floating copper marks to stacked optimized VSPM marks.
Feature profile control and the influence of scan artifacts
Richard Dare, Paul R. Rowland, Terrence E. Zavecz
Competitive high volume semiconductor manufacturing yields require that critical feature profiles be continually monitored for uniformity and production control. Historically this has involved long and tedious analyses of Scanning Electron Microscope (SEM) photos that resulted in an average feature profile or a qualitative comparison of a matrix of black and white images. Many factors influence profiles including wafer flatness, focus and film thicknesses. Characterizing profile uniformity as a function of these parameters not only stabilizes high product yields but also significantly reduces the time spent in problem aversion and solution discovery. Scatterometry uniquely provides the combination of feature metrics and spatial coverage needed to monitor production profiles. The vast amount of data gathered by these systems is not well handled by classic statistical methods. A more practical approach taken by the authors is to apply spatial models to the profile data to determine the relative stability and contributions of film, substrate and the exposure tool to process perturbations. Recent work performed by Agere and TEA Systems is shown to be capable of quantitatively modeling the relative contributions of lens slit, reticle-scan and lens degradation to feature size and side-wall angle (SWA). This work describes the models used and the slit-and-scan contributions that are unique for each exposure tool. Finally it is shown that the direction and linearity of the reticle scan can be a contributing factor to the feature profile error budget with direct influence production image stability.
Novel robust optimization method of lithographic conditions for correlative multilayers beyond 65 nm node
Parameter optimization is a key issue to develop low-k1 lithography processes, in which the number of error factors and that of critical patterns have been increasing. In order to attain a target performance of integrated circuits under numerous error factors (or noise factors), this paper describes a novel method to optimize various parameters simultaneously. The parameters include not only those related to exposure conditions such as NA, sigma and etc, but also include those related to layout restrictions for various patterns. The optimization method we applied is based on the Taguchi method for robust design experiments, which uses orthogonal arrays with a single criterion, which is called “signal-to-noise (SN) ratio”, for optimization. The optimization is performed so as to maximize the SN ratio for a pattern critical-dimension (CD) or the SN ratio for an operating window such as the open-to-short operating window of electric connections. Two cases of optimization are reported in this article, one for an intermediate metal layer in a 45 nm-node device, and the other for a via-hole layer connected to the metal layer. Any type of noise factors and critical patterns could be taken into account and an optimum set of parameters could be determined quickly and simultaneously by applying the method. The results demonstrate that this global optimization method is a very powerful tool to optimize multiple parameters in low-k1 lithography processes.
Technology qualification for 65-nm node
IC manufacturing at 65 nm node requires careful selection of imaging technology. To select appropriate approach, a wide range of impacts has to be considered. In particular, imaging, mask, and resist technologies all contribute to final CD control of the features patterned and their imaging latitude during IC manufacture. To select imaging strategy, we conducted simulation analysis of dry ArF, dry F2, and immersion ArF imaging technologies. During the selection process, each technology has to be evaluated at its imaging optimum defined in terms of projection lens NA and illuminator design as well as the mask design details; such analysis has to be specific to the requirements of the IC design critical levels. One of the key technology characteristics is the imaging tool impact on patterned level. This impact can be quantified by the projection lens aberration residue and its flare, both dependent on the image location. Introduction of aberration and flare signatures into the imaging analysis enables definition of tool performance metric common to the entire image field, and it spotlights across-field imaging tradeoffs. In addition to these factors (i.e. the imaging technology- and the tool-related impact), the impact of wafer stack on image formation in resist has to be considered. In particular, Fresnel losses, resist photochemistry, and optical path differences of diffraction orders in dense medium have to be accounted for. Such approach leads to estimates of resist refraction and contrast on the formation of critical features. This review presents comprehensive analysis of all key factors driving imaging latitude of critical levels at 65 nm node. These factors were representing impacts of imaging strategy, mask and resist technologies. The analysis presented below spotlights imaging tradeoffs of dry ArF, dry F2, and immersion ArF imaging technologies.
How to separate CD variation on local pattern density into error sources?
Kenji Kawano, Kazuya Sato, Kei Hayasaki, et al.
We propose a methodology that separates critical dimension (CD) variation depending on local pattern density into error sources; CD error on exposure mask, mid-range flare of exposure tool, and acid evaporation during post exposure baking (PEB). This methodology consists of two particular processes. One is over-coating process onto resist film before exposure, and the other is double exposure process using test reticles. The test reticles have line-and-space (L/S) array region and peripheral region that contains opaque area to avoid overlapping with L/S array region in double exposure process. Over-coating process allows separation of acid evaporation from other error sources. On the other hand, double exposure process enables elimination of CD error on exposure mask depending on local pattern density since double exposure process can make various pattern densities around fixed L/S mask pattern. In fact, the experimental CD results could provide the good agreement with estimated CD results using mid-range flare model. It has become clear that the influence of local pattern density increases with design rule shrinkage. Furthermore, the methodology revealed that the influence of each error source is greatly dependent on local pattern density. Consequently, the methodology is effective to separate CD variation on local pattern density into error sources.
Image and Process Modeling
icon_mobile_dropdown
Fast TCC algorithm for the model building of high NA lithography simulation
The shrink roadmap of semiconductors results in tighter specification of the wafer structures. Besides technological advancement and tighter tolerances for mask and scanner technology, it also requires an improvement of the numerical- and modeling accuracy of lithography simulation and proximity correction software. The modeling of high NA polarization effects and reflections within the resist stack are taken into account by current simulation models. Tool vendors support modeling accuracy by characterizing optical parameters of the scanners by measurement of the lens aberrations and the illumination pupil. The goal of this paper is to provide an algorithm, which allows achieving higher numerical accuracy by being able to use bitmaps with higher resolution for lens and illumination pupil at reasonable computational speed. While the focus of this paper is on optical lithography, the method itself is also of interest for the simulation of scanning laser microscopy such as optical disks or mask inspection.
A simulation performance framework using in situ metrology
Joseph J. Bendik, Yuji Yamaguchi, Lyle G. Finkner, et al.
Modern lithographic simulation engines1 are quite capable of determining the detrimental impact of source and lens aberrations on low k1 lithographic metrics - given the proper input2. Circuit designers, lithographic engineers, and manufacturing facilities would seem to be the beneficiaries of the predictive power of lithographic simulators; however, in-situ methods for accurately determining lens aberrations and source metrology maps have only rather recently been accepted3 and integrated into practice4. For this work, we introduce several new methods for characterizing scanner performance including a high accuracy source metrology tool and integrated simulation engine5. We focus attention on the combined detrimental effects of lens aberrations, source non-ideality, distortion, synchronization error, and transmission error on deep sub-wavelength lithographic metrics such as: H-V bias, feature-shift, and ΔCD. After a brief theoretical discussion, we describe a matrix of simulation case studies and present results. Finally, we discuss potential applications for the simulation performance framework and its potential impact to industry.
Evaluation of extendibility for Fourier diffraction theory for topographical mask structure under hyper NA lithography
Recent progress of immersion lithography technique could realize to apply hyper NA condition for real IC device manufacturing. Under the hyper NA lithography, we have to face the paradigm shift from scalar treatment to vector consideration in the region of modeling everywhere. In the historical view points, vector lithographic imaging model was introduced in 19861, and the beginning of 90’s, various evaluation works were reported in the field. And the research or evaluation of topographical mask effects were also focused and concentrated the same era using rigorous electromagnetic simulations2-4. But as for the “oblique illumination effects” at a reticle side, much concerns had not been paid about them. It was because the relaxation of incident angle due to magnification factor (4X or 5X), using small σ for alternating phase-shifting mask, and higher NA seemed to work worse as for decreasing DOF. Since the immersion lithography technique would be considered as “effective reduction of exposure wavelength by factor of the refraction index of immersion material” and superior DOF characteristics to the dry case, development of increasing exposure tool’s NA beyond 1.0 have been accelerated. In such a hyper NA region, we have to consider about the “oblique illumination effects” once again for various fields, such as combining to the model-based OPC application and so on. In this paper, 1st order approximation model is suggested and evaluated how much degree of influence of the oblique illumination effects should be taken care of, and how large area could be covered using this model. The former results denoted that around 10% of target CD might be varied in the case of hyper NA condition. But from the latter results, we did not sufficient validity for this model, so some additional approximation should be considered to improve the prediction accuracy.
Hopkins equation in Hilbert space and its application in polarized illumination modeling
The ever-increasing demand for shrinkage of IC device dimensions has been pushing the development of new technologies in micro lithography. Polarized illumination source is one of the emerging techniques in lithography to increase wafer printability, especially for 65 nm features and below. In the mean time, most RET techniques, which are showing more and more importance in lithography, are based on a highly accurate optical lithography model and simulator. Consequently, simulation and modeling tools for optical lithography may have to include the effects of source polarization in thin film stacks. In this paper we discuss some theoretical aspects of vector modeling methods that are utilized for polarization modeling and show results from Synopsys’ simulation tool Progen.
Simulations of immersion lithography
Min Bai, Junjiang Lei, Lin Zhang, et al.
Immersion lithography has been regarded as the most viable contender to extend the resolution capability of optical lithography using 193nm wavelength. In parallel with the tremendous effort of overcoming the engineering challenges in immersion, support from modeling and simulations is strongly needed. Although immersion simulation has become available through a number of simulation tools, we need to investigate the model generation and its compatibility within the context of full-chip optical proximity correction (OPC). In this paper, we will describe the physics of a full vector model that is necessary for the high NA optical modeling under immersion. In this full vector model, we consider not only the plane wave decomposition as light travels from the mask to wafer plane, but also the refraction, transmission and reflection of light through a thin film stack on the wafer. We integrated this comprehensive vector model into Synopsys OPC modeling tool ProGen. Through ProGen simulation results, we will discuss several important merits of immersion lithography, as well as the full portability of immersion models into OPC process flow.
Methods for benchmarking photolithography simulators: part III
In the past, most lithography simulators have used the thin-mask or Kirchhoff approximation to calculate the diffraction pattern for imaging calculations. This approximation has been very accurate for binary reticles, and rigorous solutions to the full Maxwell equations were only required for “exotic” technologies such as alternating phase-shift masks and chromeless phase lithography (CPL). For the future technology nodes, the thin-mask approximation may be insufficient even for binary reticles. This means that solution of the full Maxwell equations will be required for most, if not all, lithography simulations, and that these simulators must be robust and accurate, especially when used by someone who is not an expert in solving the Maxwell equations. In a previous series of papers, we proposed benchmarks for lithography simulators drawn from the optics literature for aerial image and optical film-stack calculations. We extend this work and present benchmarks here for Maxwell equation solvers. These benchmarks can be easily applied to any mask topography simulator.
Photomask Technology
icon_mobile_dropdown
Investigation of viable approaches to AAPSM intensity imbalance reduction for 65nm lithography
This paper investigates possible solutions to intensity imbalance minimization for 65nm node application through rigorous vector simulations. It provides a strategic plan to select the right technology for AAPSM application. Technologies such as undercut, bias, combination of undercut and bias and use of a Transparent Etch Stop Layer (TESL) are compared. The study looks at the effect of through pitch, defocus, phase error and sidewall profile on space CD bias for the technologies mentioned to determine the set of conditions that would provide the best compromise between performance and manufacturability. Simulations indicate the use of TESL along with undercut would provide best compromise between manufacturability and performance. Simulation results show that performance can be improved considerably by optimizing phase target. The use of vertical side walls is sufficient if the purpose of simulation is to determine trends. For more accurate simulations it is suggested that the profile used in simulation be matched to profiles seen on manufactured AAPSM.
Improving lithography CD control by correcting proximity and long range variations in electron beam mask writer
Eui-Sang Park, Hyun-Joon Cho, Jin-Min Kim, et al.
In this paper, an Energy Intensity Distribution (EID) model considering dose latitude for Variable Shaped Beam (VSB) has been developed. η values (i.e. back-scattering ratio) versus dose and process threshold have been investigated by using the EID model. Additionally, a new procedure to find optimum PEC values (η) taking into account of the process threshold is proposed through simulation. For fogging effect correction, we have adopted a Gauss model and created a new simulation algorithm to find the most suitable parameters regarding η value, process threshold, dose and the EID model.
Exploring the 65nm frontier of alternating phase shifting masks with a quartz dry etch chemistry
S. A. Anderson, R. Neubauer, A. Kumar, et al.
Advances in photo mask etch technology are clearing the way for 65nm alternating phase shifting masks (alt-PSM) to be used as a principal component in a typical mask set. As wafer features shrink to ever smaller sizes, the specifications on the photo mask etch performance become more and more stringent. To meet the challenging demands of 65nm technology, alt-PSM’s are employed to help deliver a reliable and repeatable pattern transfer to the wafer. Hence, especially in the framework of quartz dry etch technology for the production of high-end alt-PSM’s ever tightening specifications generate various efforts of machine vendors and mask making industry to meet the demands 1. This paper covers data from a ten experiment two level three factorial Design of Experiment. Therein, the effects of changing quartz process conditions (i.e., ICP power, RIE power, and gas chemistry) on the Applied Materials TetraTM II Photomask Etch System were investigated. As for alt-PSM's the universally agreed upon number one priority is phase angle uniformity followed closely by RIE lag, sidewall angle (SWA), and micro-trenching this was also taken into account during the optimization process of the DoE findings. The results show phase angle uniformity of less than 2.0° relative to a 180° etch depth and acceptable performance for RIE lag, SWA, and micro-trenching. Trends and graphs of the DoE are presented and discussed in detail.
Pellicle process effects in critical dimension fluctuation
Byung-Cheol Cha, Yong-Hoon Kim, Hee-Sun Yoon, et al.
In this article, we will analyze in-field uniformity (IFU) fluctuation of linewidth on wafer considering errors related to mask pellicle process. As gate linewidth becomes smaller, the controllability of in-field uniformity (IFU) plays a key role in wafer manufacturing yield. IFU depends on various lithography parameters including mask CD (critical dimension) uniformity, MEEF (mask error enhancement factor), exposure margin, focus margin, transmittance, flare and illumination uniformity. Although the short term repeatability of IFU is manageable, various parameters which affect IFU are still changing. During the wafer process, mask re-pellicle process is unavoidable due to haze contamination. For this reason, mask pellicle process including cleaning should be carefully controlled to achieve the long-term IFU stability on wafer as well as exposure machine (optic), resist coating (resist property). This paper will discuss the various experimental works including IFU correlation on wafer in terms of optic stability, resist stability and mask pellicle process. CD uniformity data on mask and IFU data on wafer is obtained from optical measurement tool to reduce measurement error disregarding local CD variation.
Enhancement of the image fidelity and pattern accuracy of a DUV laser generated photomask through next-generation hardware
Robert Kiefer, Curt Jackson, Vishal Garg, et al.
Currently, the ALTA® 4300 generation DUV Laser system is capable of printing critical and semi-critical photomasks for the 130nm and 90nm IC technology nodes. With improved optical elements, an improved objective lens, and a higher bandwidth datapath the capability of the tool has been dramatically enhanced. Both the tool’s diffractive optic element (DOE) and acousto-optic modulator (AOM) have been refined. Additionally, the tool's 33x, 0.8NA objective lens has been replaced with a 42x, 0.9NA objective lens. Finally, the tool's datapath has been enhanced to maintain the ALTA system's superior write times on the critical layers. Quantitative results of these enhancements will be detailed through reporting of critical feature resolution limits, CD uniformity control, and pattern placement accuracy. Performance will be shown from masks printed pre- and post- hardware upgrade. Experimental results will be compared with theoretical calculations that show the expected improvement for each relevant parameter.
Defect printability and inspectability of Cr-less phase-shift masks for the 70nm node
J. Heumann, J. Schramm, A. Birnstein, et al.
A chrome-less phase-shift mask for the 70nm technology was designed and manufactured. The mask contains “lines and spaces” including programmed defects. Each defect was characterized with respect to the critical dimension (CD) variation on wafer, defect size, aerial image deviation, as well as inspection capture rate. It was found that defects with an AIMS intensity deviation of above 9 % are to be considered critical. The corresponding critical defect size is dependent on the defect type. All lithographically significant mask defects were found reliably using a KLA 576 inspection tool.
Models for reticle performance and comparison of direct measurement
It’s commonly reported that a difference exists between directly measured reticle feature dimensions and those produced in the final lithographic image. Quantifying this mask error function (MEF) and the sources of the perturbation has been the topic of many papers of the past several years. Past studies have been content to evaluate these functions by statistical averaging thereby neglecting the potential influence of process and exposure contributions. The material presented here represents the findings of an extensive study of reticle-process interactions. Phase I of the evaluation consisted of focus and dose exposures of the reticle and subsequent modeling of the full-profile response. This analysis provided extensive information on the optimum-printed feature profiles while removing the contribution of across-field focus variations. The reticle was directly characterized using both conventional SEM and a new Nanometrics OCD Scatterometer technique. The full-field modeled response surface of the directly measured feature characteristics are then used to calculate the across-field MEF and provide an improved estimate of the true response of the feature to exposure. Phase II of the analysis turns its attention to characterization of the full-wafer process response. Both the modeled and directly measured reticle surfaces were removed from Scatterometry measured full-wafer exposures. Normal process variations consisting of photoresist and ARC thickness volatility are next used to show the response of the printed feature. Finally a summary of the relative contribution of each process perturbation to the feature profile error budget is discussed.
Polarization, High-NA, and Immersion Lithography
icon_mobile_dropdown
The modeling of immersion liquid by using quantum chemical calculation
Jun Irisawa, Takashi Okazoe, Takeshi Eriguchi, et al.
For realizing next generation 193nm immersion lithography, developing suitable high refractive index liquid is an very important issue. To overcome the trade off relationship between high refractive index (optimally more than 1.6 ) and low absorbance (similar degree with H2O 0.0036cm-1), the molecular modeling based on quantum chemical ab-initio calculation was performed. We have successfully developed the predictive method of frequency-dependent refractive index for liquid and its absorbance. Then, we tried to estimate these properties to search for optimal candidates. In this paper, we report on the estimated results of the refractive index n and the absorbance at 193nm for some candidate compounds. We believe we could demonstrate the usefulness of the predictive method by using the quantum chemical calculation for developing new liquids, even if there were some degree of errors in the absolute values. We have found the -SO2- (like sulfone, sulfonate, sulfate) containing five- and six-membered ring compounds such as sulfolane and sultone etc. would achieve both high refractive index around 1.6 and relatively low absorbance. XeF4O and Bi(CF3)3 ,unfortunately, had absorption at 193nm due to the weak binding outer valence electrons. In the case of alkyl Si and Ge, Si(CH2CH3)4 might have a good balance of refractive index 1.59 and relatively low absorbance. Si(CH3)3CH2CH2OH and Ge(CH2CH3)4 were estimated to have refractive index of over 1.6, but have been estimated these might have sightly stronger absorption.
Potential of solid immersion lithography using I-line and KrF light source
ArF technology is currently being used for 80nm resolution in the photo-lithography field, and ArF wet immersion technology is expected to be used for high resolution systems down to 50nm. Between ArF wet immersion technology and EUV technology, there is no proper technology that can cover the resolution range from 50nm to 30nm. In this paper, a new lithography technology using a Solid Immersion Lens (SIL) is introduced as an idea for very high resolution, and its resolution achievement is estimated through simulation. SIL technology is a near-field optics technology that achieves high resolution. A SIL is a hemispherical lens, and the incident beam is normal with respect to the surface of the lens. Because a high refractive index material is used for the SIL, very high numerical aperture provides high resolution. The resolution limit is estimated by calculating the vector irradiance inside the thin-film stack composed of the SIL, air gap, photoresist, anti-reflection layer and substrate. Feature size is estimated over reasonable exposure latitude at 20nm depth in the resist. Results show that, using a 365nm wavelength source, 70nm resolution is expected, and 50nm resolution is expected with a 248nm wavelength source. With a shorter wavelength light source and a proper SIL material of high refractive index for the wavelength, higher resolution can be achieved.
Study on the shoreline for water immersion ArF lithography
Though speculation on immersion is ignited by the possibility in realization of hyper NA lithography system which will have NA> 1.0, it is thought that the immersion era might come earlier even in ≤1.0 NA regime because of great benefit in increasing DOF. On the other hand, questions are still laid on maturity or reliability issues such as lens contamination, bubble defects, overlay control and so forth. The main subject of this paper is how to find the appropriate time for introduction of immersion. Basic performance of immersion lithography in 80nm DRAM is compared with that of conventional dry lithography through experiment and simulation. Result of simulation is quite well matched with that of the experiment, and therefore we can investigate the limit of conventional dry lithography based on the simulation results.60nm node might be remained as a last regime for conventional dry lithography by virtue of polarized illumination, and we can expect the shoreline beyond there.
Impact of polarization for an attenuated phase-shift mask with ArF hyper-NA lithography
In recent low-k1 lithography, the size of a mask pattern is becoming close to wavelength of the light source. The light intensity through the mask pattern is depending on polarization. TM polarization light is higher transmission than TE polarization light for a MoSi mask. This effect influences not only the zeroth-order light but the first-order light. On the other hand, TE polarization imaging makes higher contrast than TM polarization in two beam interference. Effects of polarization to resolution are not simple. Since an attenuated phase shift mask is used in order to obtain high contrast, it is necessary to take into consideration the influence of that. It is also taken into consideration that illumination light is not perpendicular incidence but oblique incidence for an ArF hyper-NA tool. We will perform a rigorous simulation in consideration of the above conditions. Hereby influence of the to the utmost resolution will be clarified by the rigorous simulation.
Polarization gratings for the DUV: modeling and experimental results
P. Triebel, D. Mademann, M. Schrenk, et al.
As lithographers push to extend optical lithography technologies to create smaller features with higher NA, especially immersion lithography, and lower k1 values, the polarization of the light in the illumination system becomes relevant. Nowadays the fabrication with electron-beam-lithography has the ability the produce diffractive structures for the DUV spectral range. We present binary phase gratings with polarization depended optical properties for 193nm designed with the rigorous coupled wave analysis (RCWA). The element function is based on a shift of the diffraction efficiencies between the first and the zero diffraction orders for different incident polarization angles. The properties of a 193nm polarizing phase grating will be discussed in detail. For TE-polarized light a zero order signal of less than 1% was measured. The efficiency for TE-polarized light in the first order is about 80%. For TM-polarized light the binary phase gratings achieve an efficiency of nearly 90% in the first and the zero order in transmission. The deflection angle of the first diffracted order can be varied between 14° and 40°. The rigorous modelling as well as the fabrication technology and optical measurements will be discussed.
Study of high NA imaging with polarized illumination
High Numerical Aperture (NA) imaging with polarized illumination has been proposed as one of the solutions of the 65nm technology node and beyond. Aerial image simulations and experimental exposure results 1, 2 confirmed the advantage of the polarized illumination for high NA imaging. However, impact of imperfection of the polarization status upon imaging performance has not yet been fully investigated. We have studied the impact of error of the polarized illumination upon imaging performance including CD (critical dimension line width) variation and pattern displacements. We define parameter “Degree of Specific Polarization” (DSP) that shows how close the polarization status is to the ideal status. For a conventional illumination setting, Zernike polynomials are used to describe distribution of DSP across the illumination pupil and Zernike annular polynomials are used for a dipole (bow tie) illumination setting. Degradation of the imaging performance for each Zernike term is estimated using a vector-model aerial-image simulator, which is one of our in-house software, and allowable range of each Zernike term for DSP is investigated.
Polarization impact on partially coherent imaging
Image formation and analyses in optical lithography illuminated with partially coherent polarized light are explored. The influence of polarization on the image formation of one-dimensional periodic patterns has been investigated and qualitatively understood by using the concept of the transmission cross-coefficient and coherency factor σc. Three types of imaging are considered for line/space patterns: (1) 3-beam imaging (conventional imaging), (2) 2-beam imaging (Levenson type phase-shifting), and (3) the imaging with the 0th and either the -1st or the +1st orders of diffracted waves (off-axis illumination). Results show that the aerial images are affected by polarization state in (2) and (3) at high numerical apertures. The illumination light polarized parallel to the lines and spaces gave much higher image qualities than the illumination polarized perpendicular to the lines and spaces. This suggests that it is possible to further improve the resolution of an optical system by controlling the polarization besides using phase-shifting masks or off-axis illumination. We studied the effects of polarized light on immersion lithography using alternating PSM, employing conventional and dipole illumination.
Polarization influences through the optical path
With the advent of immersion lithography, high numerical aperture (NA) and Hyper-NA (NA > 1.0) exposure tools, comes the task of understanding the impact of polarization and possibly how to master these effects for further resolution enhancements. In the past, the lithographic community has for the most part been able to ignore the polarization incident to mask, polarization induced by the 3D mask effects, and any residual polarization provided by the pupil, but with the combination of these high-NA exposure tools and the use of extreme off-axis illumination techniques, neglecting these polarization effects could be disastrous. Previous works have rigorously accounted for the polarization influences from the illumination source and within a thin film for an immersion and dry process using the Calibre vector-diffraction model 1-2. This paper will expand upon this study to include the mask and pupil polarization effects from the first order perspective and from the higher-order interactions with the four polarizations commonly found within a lithographic exposure system. It will propose possible resolution enhancement techniques by manipulating polarization in the optical path and at the mask in a hyper-NA exposure environment.
Analytic theory of symmetric two-beam interference in high-NA optical lithography
It is well known that TM-waves are not as effective as TE-waves in forming interference fringes in oblique incidence. In lithography, this corresponds to contrast loss when imaging with the high-NA exposure tool that employs strong off-axis illumination. One usually explains that there is an angle between electric fields of TM-waves in the resist. However, when the resist is absorptive, the calculation of reduction of contrast for TM-waves becomes rather complicated. In this paper, we show that the analytic formula for symmetric two-beam interference can be derived by straightforward full-vector approach. With the help of the Poynting vector and Poynting's theorem, aerial image in the resist can be calculated. The contrast-reduction factor for TM-waves is thus be found to be [formula] where k0+ is the wave vector in the resist and N0 is the complex index of refraction of the resist. When the resist is non-absorptive, the contrast loss factor for TM-waves reduces to the well-known form ε, = cos2θ0, where θ0 is the angle of refraction in the resist. It can also be shown that for TE-waves aerial image in the resist is separable in its transverse and longitudinal coordinate dependence, as is also true for TM-waves under reasonable approximations. That is, the thin-film effect can be regarded as independent of aerial image formation. This conclusion makes possible the development of an efficient methodology for optimizing the thin-film stack used in optical lithography.
Mask polarization effects in hyper NA systems
The non-paraxial correction term of high-NA effect was studied for scalar field in optical microlithography. However, the correction term of scalar field should be modified for vector field. Based on a thin mask, the characteristic of vector field can be described with the Symthe-Kirchhoff formula. The non-paraxial correction term of vector field can be derived with the combination of both the law of energy conservation and sine condition on entrance pupil and exit pupil. The correction term of vector field depends on the degree of polarization of incident light. As the result, the correction term of TE wave of vector field is the same characteristic as that of scalar field. However, the correction term of TM wave of vector is different from that of scalar field.
OPC and Implementation
icon_mobile_dropdown
A methodology to calibrate line-end gauge position for better modeling performance
Model-Based Optical Proximity Correction has become a standard practice for 130nm technology node and below. A physically realistic model that is adequately calibrated contains the information that can be used for process predictions and analysis of a given process. But there still are some unknown physics in the process, that’s why we need to recommend some methodologies for implementing calibrated models for low k1 process. On the other hand, line-end is one of the most difficult 2-D configurations to model and simulate accurately because of intrinsic localized lower/higher threshold compared with 1-D structures. This problem is quite unavoidable especially when people keep constant threshold modeling approach. The objective of this study is to provide a methodology for different line-end modeling gauge types and positions, and still maintain constant threshold modeling. Here, we choose a 0.7NA ArF process empirical dataset for modeling experiments. Among all gauge types and modeling algorithms, the off-center 10% of main feature line-width gauge type with constant threshold model has overall best performance due to: 1)Quick convergent model fitting time; 2)Best common fitting, simulation and correction results; 3)More stable than variable-threshold model.
Effect of data selection and noise on goodness of OPC model fit
When measured proximity data are fit by an empirical OPC (optical proximity correction) model for full-chip layout processing, it is assumed that the data are accurate and that model parameter space is sufficiently well sampled. It is also assumed that outliers in the measured data are easily identifiable. Furthermore, if more sample data points are used in the fit, a better (more applicable) model will result. This paper addresses several key issues concerning the input of incorrect or insufficient data to such models. (1) How well can models average out random measurement noise? (2) Can one obtain a sufficiently good model fit using fewer data points? (3) How good are models at interpolating proximity data? (4) How well can models calibrated to a subset of the data (e.g., only medium range pitches) extrapolate outside this range? The approach employed was to start with a representative OPC proximity data set and perform model fits using different subsets of this data and different levels of additive noise. The fit results and predictive model behavior were then compared.
Advanced layout fragmentation and simulation schemes for model-based OPCC
Traditional model based OPC software operates under a set of simple guiding principles. First, a design is fragmented into finitely sized segments, the sizes and numbers of which are limited by run-time and mask constraints. Within each fragment the intensity profile (aerial image) and edge-placement error (EPE) are calculated at a single location. Finally, the length of the entire fragment is moved to correct for the EPE at that location. This scheme has potential limitations in certain cases. For instance, cases where the aerial image contour (and therefore EPE) vary at a higher frequency than the minimum allowed fragmentation frequency. This so-called aerial image ripple problem can challenge the abilities of simple model based OPC. In addition, certain advanced RET schemes require that EPE be controlled in areas that have no adjacent mask polygon. Similarly, certain double-exposure RETs require the mutual optimization of features on multiple mask layers. Our paper will describe a flexible model based OPC scheme called Matrix OPC, which has proven capabilities of resolving these and many other advanced RET problems.
OPC for double exposure lithography
Thorsten Winkler, Wolfgang Dettmann, Mario Hennig, et al.
In DRAM technology, rapidly decreasing critical dimensions cause a strong need in lithography for optimization of illumination conditions. In critical line levels, this will lead to an increasing demand for application of different, specially optimized illuminations to differently structured layout portions. Such a strategy can be achieved by double exposure techniques. A major technical challenge in this approach is the case in which electrically connected layout regions are assigned to different litho illuminations. Here, the layout separation onto different masks must preserve a sufficient process window in the electrically connected layout cut regions. A key success factor is a double exposure aware OPC strategy, able to describe and correct layouts defined by the interaction of two exposures with different illumination settings. In our contribution, we present the results of a double exposure experiment for a critical metal level. A likewise mask-manufacturing-friendly and litho-friendly method of layout separation on 'double tri-tone masks' was developed. Mask and wafer results show the principal feasibility of the chosen concept and prove the necessary OPC functionality.
Illumination optimization effects on OPC and MDP
Illumination optimization has always been an important part of the process characterization and setup for new technology nodes. As we move to the 130nm node and beyond, this phase becomes even more critical due to the limited amount of available process window and the application of advanced model based optical proximity corrections (OPC). Illumination optimization has some obvious benefits in that it maximizes process latitude and therefore makes a process more robust to dose and focus variations that naturally occur during the manufacturing process. By mitigating the effect of process excursions, there are fewer numbers of reworks, faster cycle times and ultimately higher yield. Although these are the typical benefits associated with illumination optimization, there are also other potential benefits realized from an OPC modeling and mask data preparation (MDP) perspective as well. This paper will look into the not so obvious effects illumination optimization has on OPC and MDP. A fundamental process model built with suboptimal optical settings is compared against a model based on the optimal optical conditions. The optimal optical conditions will be determined based on simulations of the process window for several structures in a design using a metric of maximum common depth of focus (DOF) for a given minimum exposure latitude (EL). The amount of OPC correction will be quantified for both models and a comparison of OPC aggressiveness will be made. OPC runtimes will also be compared as well as output file size, amount of fragmentation, and the number of shot counts required in the mask making process. In conclusion, a summary is provided highlighting where OPC and MDP can benefit from proper illumination optimization.
High accuracy 65nm OPC verification: full process window model vs. critical failure ORC
It is becoming more and more difficult to ensure robust patterning after OPC due to the continuous reduction of layout dimensions and diminishing process windows associated with each successive lithographic generation. Lithographers must guarantee high imaging fidelity throughout the entire range of normal process variations. The techniques of Mask Rule Checking (MRC) and Optical Rule Checking (ORC) have become mandatory tools for ensuring that OPC delivers robust patterning. However the first method relies on geometrical checks and the second one is based on a model built at best process conditions. Thus those techniques do not have the ability to address all potential printing errors throughout the process window (PW). To address this issue, a technique known as Critical Failure ORC (CFORC) was introduced that uses optical parameters from aerial image simulations. In CFORC, a numerical model is used to correlate these optical parameters with experimental data taken throughout the process window to predict printing errors. This method has proven its efficiency for detecting potential printing issues through the entire process window [1]. However this analytical method is based on optical parameters extracted via an optical model built at single process conditions. It is reasonable to expect that a verification method involving optical models built from several points throughout PW would provide more accurate predictions of printing errors for complex features. To verify this approach, compact optical models similar to those used for standard OPC were built and calibrated with experimental data measured at the PW limits. This model is then applied to various test patterns to predict potential printing errors. In this paper, a comparison between these two approaches is presented for the poly layer at 65 nm node patterning. Examples of specific failure predictions obtained separately with the two techniques are compared with experimental results. The details of implementing these two techniques on full product layouts are also included in this study.
Etch modeling for accurate full-chip process proximity correction
The challenges of the 65 nm node and beyond require new formulations of the compact convolution models used in OPC. In addition to simulating more optical and resist effects, these models must accommodate pattern distortions due to etch which can no longer be treated as small perturbations on photo-lithographic effects. (Methods for combining optical and process modules while optimizing the speed/accuracy tradeoff were described in “Advanced Model Formulations for Optical and Process Proximity Correction”, D. Beale et al, SPIE 2004.) In this paper, we evaluate new physics-based etch model formulations that differ from the convolution-based process models used previously. The new models are expressed within the compact modeling framework described by J. Stirniman et al. in SPIE, vol. 3051, p469, 1997, and thus can be used for high-speed process simulation during full-chip OPC.
Full chip model based correction of flare-induced linewidth variation
Scattered light in optical lithography, also known as flare, has been shown to cause potentially significant linewidth variation at low-k1 values. The interaction radius of this effect can extend essentially from zero to the full range of a product die and beyond. Because of this large interaction radius the correction of the effect can be very computation-intensive. In this paper, we will present the results of our work to characterize the flare effect for 65nm and 90nm poly processes, model that flare effect as a summation of gaussian convolution kernels, and correct it within a hierarchical model based OPC engine. Novel methods for model based correction of the flare effect, which preserve much of the design hierarchy, is discussed. The same technique has demonstrated the ability to correct for long-range loading effects encountered during the manufacture of reticles.
Modified optical proximity correction model to compensate pattern density induced optical proximity effect
Jaehyun Kang, Juhyun Kim, Sukwon Jung, et al.
As design rule is decreased, OPC accuracy has become the crucial factor for achieving stable device functionality and yield. Usually the lithography and the etching process conditions are main parameters impacting to the OPC accuracy. The OPC accuracy can be changed as function of process conditions, even if we use same OPC model. And we usually expect to obtain same OPC results between different devices in same technology node if we used same OPC model and process. But we observed different OPC results as function of devices as well as process conditions. We suspected this phenomenon was resulted from the different pattern density induced global etch bias variation. First of all, we will prove that the device dependency of OPC accuracy is come from pattern density induced etch bias effect. Finally, we will setup new OPC methodology to compensate this effect.
OPC modeling by genetic algorithm
W. C. Huang, C. M. Lai, B. Luo, et al.
Optical proximity correction (OPC) is usually used to pre-distort mask layouts to make the printed patterns as close to the desired shapes as possible. For model-based OPC, a lithographic model to predict critical dimensions after lithographic processing is needed. The model is usually obtained via a regression of parameters based on experimental data containing optical proximity effects. When the parameters involve a mix of the continuous (optical and resist models) and the discrete (kernel numbers) sets, the traditional numerical optimization method may have difficulty handling model fitting. In this study, an artificial-intelligent optimization method was used to regress the parameters of the lithographic models for OPC. The implemented phenomenological models were constant-threshold models that combine diffused aerial image models with loading effects. Optical kernels decomposed from Hopkin’s equation were used to calculate aerial images on the wafer. Similarly, the numbers of optical kernels were treated as regression parameters. This way, good regression results were obtained with different sets of optical proximity effect data.
The fabrication process and characteristics of light loss free zero-space microlenses for CMOS image sensor
Sang Uk Lee, Jeong Lyeol Park, Jae Sung Choi, et al.
We report a novel method to fabricate zero-space microlenses without light loss for CMOS image sensor. Classical microlenses for CMOS image sensor, adopted for achieving high quality images by providing appropriate propagation and collection of light onto photo diode, are typically formed by patterning and thermal flowing process of square type photo resist array sequentially. In that process, sufficient spaces between microlenses must be maintained to avoid merging by keeping an isolated microlens for in arrayed lenses during thermal flowing process. In order to remove the spaces between microlenses, we have developed a dual step patterning process in conjunction with optimal thermal flowing process developed for obtaining optimum microlens shape. The characteristics of zero-space microlenses without light loss were also demonstrated comparing with classical microlenses.
Proximity matching for 193 nm scanner using scatterometry
WenZhan Zhou, Jin Yu, James Lo, et al.
In this paper, we evaluate several approaches for proximity matching on a 193nm scanner system such as image contrast tuning, illumination tuning and photoresist tuning. Both experimental and simulation studies are carried out to reveal the differences between approaches. We find that it is very important to determine the root cause of proximity mismatch before attempting proximity matching, and that spectroscopic scatterometry is an excellent tool for OPC tuning
Exposure Tools, Subsystems, and Materials
icon_mobile_dropdown
Current status of 157-nm lithography using a full-field scanner
Toshiyuki Ishimaru, Seiji Matsuura, Miyoshi Seki, et al.
In July 2004, the third FPA-5800 FS1 157-nm full-field scanner, developed by Canon Inc., was shipped to Selete. The scanner has an exposure field of 22 x 26 mm with a five-times reduction ratio. The numerical aperture is 0.80, which is the highest among all 157-nm scanners. We are now investigating tool performance, illumination uniformity, and imaging performance under various exposure conditions. In this paper, we will report our findings, focusing on the applicability of 157-nm dry lithography for the half-pitch 65-nm-node. We have obtained a resolution limit of 55-nm line-and-space (L&S) patterns with an alternating phase shifting mask. This corresponds to a 0.28 k1 factor. The depth of focus for these patterns at lens-center was 0.30 μm. For an attenuating PSM (Att-PSM) with annular illumination, the resolution limit was 65-nm L&S, which corresponds to 0.33 k1. The line width uniformity of 65-nm L&S with an Att-PSM was 15.0 nm. The dense-hole resolution was 80 nm. However, lens flare had a considerable effect on resist profiles, viewed as a difference between dark and bright field patterns. In addition, with the application of gate etching processes, the fabrication of a 40-nm line/120-nm pitch gate pattern was achieved. Improvement in the line width roughness (8.3 nm) is needed and should be attainable.
Development and evaluation of an F2 laser for immersion interference lithography at 157nm
Yasuo Itakura, Youichi Kawasa, Osamu Wakabayashi, et al.
A two-beam interference lithography system based on a line-selected F2 laser has been developed. Resist patterns with a 60nm line and space (L&S) resolution were produced by the interferometer by F2 immersion lithography. The F2 laser performance had been especially optimized for this application. The spectral emission at the 157.53nm line was less than 1% of the main line emission at 157.63nm. The main line had a deconvolved spectral bandwidth of 0.84 pm (full width at half maximum (FWHM)). The degree of horizontal linear polarization was above 0.73 and the visibility of spatial coherence was larger than 0.83 at a pinhole distance of 0.1mm.
Properties of ultra-large CaF2 crystals for the high NA optics
CaF2(Calcium fluoride) lens materials are required for the most important component of the ArF laser lithography stepper for the reason of its excellent transparency in DUV region and excellent laser durability as compared with quartz. Last year we reported to succeed in growing both <111> and <100> crystals, which had 210m diameter and 150mm length by the Czochralski (CZ) method with high productivity1). The obtained CaF2 crystal had low stress birefringence by way of the optimized annealing process. Although, for the higher NA system, larger CaF2 single crystals are required for the lens materials having the high quality and high productivity. To meet the above mentioned demands, we made efforts to produce and succeeded the first in the world ultra-large (φ300mm over) and high quality CaF2 single crystal by the CZ method with high productivity. The crystal had very low stress birefringence, good transparency in DUV region and good homogeneity. It was also easy to control the orientation of the crystal by the selection of seed crystal.
Feasibility study of 6 kHz ArF excimer laser for 193 nm immersion lithography
Tsukasa Hori, Takayuki Yabu, Takanobu Ishihara, et al.
A feasibility study of next generation 6 kHz ArF laser for lithography is presented. High repetition rate operation of excimer lasers faces two technical challenges: 1) the occurrence of acoustic waves caused by the discharge in the laser chamber and 2) the huge energy consumption of the large gas flow fans. This paper describes our approach to dampen the acoustic waves. A computer simulation of acoustic wave generation inside the discharge chamber was done. The simulation correlates well with Schlieren photography measurements that visualized the acoustic waves. Based on these results, a chamber for 6 kHz repetition rate was newly designed. Measured spectral data (FHWM and E95) proved that the acoustic wave perturbation was remarkably reduced. A very efficient design method for high repetition rate laser chamber has therefore been established.
6 kHz MOPA light source for 193 nm immersion lithography
Walter D. Gillespie, Toshihiko Ishihara, William N. Partlo, et al.
Volume production immersion lithography scanners will require new light sources offering increased output power while delivering improved dose stability over a shorter exposure window. Scaling the light source repetition rate from 4 to 6 kHz is the logical step toward meeting those combined requirements. We will present the results of the latest progress towards developing a 193 nm, 6 kHz light-source using Cymer’s proprietary MOPA technology. We will discuss how the design of critical core technology elements, such as the discharge chamber, the solid-state-pulsed-power modules and opto-electronic detectors within the system are modified to handle the higher average power, thermal demands, and speed to support reliable operation up to 6kHz. The XLA platform, which is already used on three generations of 193 nm MOPA light source, allows seamless integration of these improved technology elements into a reliable, proven product platform. We will also report results of the characterization of the optical parameters critical to the lithography process, such as spectral bandwidth and its stability, energy stability and dose stability, up to 6 kHz.
Improvement of the lifetime of the optical coatings under high power laser irradiations
Ryuji Biro, Tetsuzo Ito, Seiji Kuwabara, et al.
To maintain high transmittance for long time use in the ArF and F2 lithography exposure tools is highly requested, which is strongly dependent on the transmittance of the optical coatings. The following experimental fact was reported in the F2 laser durability testing; the transmittance degradation of the optical coatings did not occur under low power laser irradiation of less than 5 mJ/cm2, but a catastrophic degradation of transmittance did under high power laser irradiation over 15 mJ/cm2. We have set a program to investigate the causes of the laser-induced degradation of the optical coatings. Consequently, we have found a relationship between the contaminations of the irradiation atmosphere and the transmittance degradation through various experimental conditions and analyses such as SIMS and GCMS. Finally, we have succeeded in remarkably improving the durability of the antireflection coating (ARC) by optimizing the atmospheric conditions.
Kinetics of laser induced changes of characteristic optical properties in Lithosil with 193nm excimer laser exposure
Ute Natura, Rolf Martin, Gordon von der Goenna, et al.
Fused silica is used as lens material in DUV microlithography systems. The kinetics of slow radiation induced defect generation in Lithosil® including absorption, hydrogen consumption and changes of the refractive index is described in detail and in very good agreement with measured data in previous papers. In addition to these effects after long time irradiation fused silica is characterized by rapid damage processes (RDP) after short time irradiation. A model describing the absorption of RDP in dependence on energy density, repetition rate and time is described in this paper, the sensitivity of RDP on pre-irradiation and illumination conditions is discussed. Furthermore a method to reduce energy dependent absorption of RDP is mentioned.
Development of fluoropolymers for pellicle in 157nm lithography
Shinji Okada, Hiromasa Yamamoto, Ikuo Matsukura, et al.
Fluoropolymers have been successfully utilized for pellicle manufacturing in 248 and 193nm lithography. Moreover, the pellicle using such fluoropolymers will make a large contribution to the development of 193nm immersion technology that is now expected as NGL for 65nm and 45nm node. On the other hand, 157nm lithography is also considered to be a desirable solution as a future manufacturing technique. However, no fluoropolymers show good laser durability to 157nm irradiation. This is one of major obstacles for implementing 157nm lithography. It follows that the purpose of this research is to find out a polymer that has outstanding durability, and would therefore promote wider use of the 157nm technology. Through this research, we had synthesized some kinds of fluoropolymer platform and investigated their durability. From the investigation, we have found several criteria to control their photo-induced degradation. Based upon these criteria, we have synthesized several new fluoropolymers and investigated their durability. As the result of these evaluations, these polymers showed good initial transmission as expected. Moreover, some polymers showed good mechanical durability when exposed to over 100J/cm2 irradiation. In addition, some new copolymers between monomer containing tert-hydrogen and perfluorinated monomer showed poor mechanical durability, however the polymers showed higher transparency during irradiation. To investigate these phenomena, we have analyzed these polymers using FT-IR and XPS. From these analyses, we estimate and propose possible degradation mechanism of these polymers.
Hardness, elastic modulus, and fracture toughness bulk properties in Corning calcium fluoride
Julie L. Ladison, James J. Price, John D. Helfinstine, et al.
Knoop and Vickers hardness, Young's Modulus, and fracture toughness measurements were performed on Corning's Code 9575 calcium fluoride in various orientations. Other commercially available sources of calcium fluoride were also measured for comparison of properties. Knoop hardness and elastic properties exhibited a dependence on orientation while no such dependence was observed for Vickers hardness and fracture toughness. The results also indicated that these physical properties were not dependent on the source of the material
Improvement of homogeneity in large optics made of Corning HPFS fused silica
Julie L. Ladison, John E. Maxon
In large fused silica blanks, typically greater than 225mm in diameter and 40mm thick, recent glass forming improvements have improved the homogeneity in Corning HPFS fused silica by 51%. Overall homogeneity peak to valley values improved from an average 1.4ppm to 0.69ppm. This paper describes the experimental results on homogeneity and birefringence resulting from these Forming improvements.
Developments in RET
icon_mobile_dropdown
A novel mask-based approach to improve low-k1 corner and angle definition in alternating-aperture phase-shift mask structures
A novel approach to improve the imaging of the critical magnetic pole structure in the disk drive read head is introduced. A 90-degree sub-resolution opening is added to an alternating aperture phase shift mask to reduce a strong proximity effect in the non-Manhattan tapered section, while maintaining the enhanced printability of the linear segment of the pole region.. Simulation indicates that this opening provides a method to correct the observed distortion in the printed edge without reducing the effectiveness of the altPSM character of the pole itself. We have designed test patterns with this concept and built photomasks to evaluate mask manufacturability and to empirically test the impact of the 90-degree window on final pattern fidelity on wafer. Preliminary results indicate positive correction effects, as well as some potential issues which may be resolved using additional, established correction approaches.
Imaging study of positive and negative tone weak phase-shifted 65 nm node contacts
James V. Beach, John S. Petersen, Robert T. Greenway, et al.
CPL and aerial image mapping type contact designs for both negative and positive tones were created, built and tested for 100 nm and sub-100 nm contacts. Experimental results illustrated the need for electromagnetic-field corrections in the simulations. Resolution down to 80nm dense contacts were seen with both negative and positive resists with acceptable process windows though some process optimization is still required as unacceptable CD variation and a reentrant profile was observed. High MEEF requires strict CD control on the mask. Data volume for the isolated contact designs can also challenge the mask build.
Optimization of multi-pole aperture for via patterning of 90 nm logic devices by KrF lithography
Shu Ping Fang, Hsiang Yang, Hsien-an Chang, et al.
Optical resolution limit is one of the concerns for exposure tool selection. ArF lithography tools are the first choice for critical layers of 90 nm node with pitches narrower than 280 nm. However, high cost of ArF tools and photoresists make IC manufacturers try to seek for alternatives. Extension of KrF lithography has been widely discussed. For mass production of 130 nm node, KrF lithography has been pushed hard to achieve 160 nm contact holes with 320 nm pitch. In this paper, printing of via holes with the minimum pitch of 280 nm has been demonstrated with a special designed multi-pole aperture and high NA KrF lithography. With these illumination settings, reasonable process windows through all the pitches can be achieved for mass production of 90 nm node logic devices. Multi-pole illumination aperture settings are critical for balancing through-pitch process margins. Forbidden regions should not be found with optimum multi-pole illumination settings. In other words, the adequate combinations of multi-pole sizes and locations can minimize the forbidden proximity behavior and also keep the aerial imaging contrast balance through all the pitches. Mask bias is another factor to enlarge the common process windows. The process margin depth of focus (DOF) and mask enhanced error factor (MEEF) are investigated with various multi-pole settings and mask biases. Simulation works have been done for fine-tuning of the multi-pole aperture to reduce through pitch MEEF and optimize mask biases.
Mask enhancer technology for 45-nm node contact hole fabrication
We have proposed a new resolution enhancement technology using attenuated mask with phase shifting aperture, named "Mask Enhancer", for random-logic contact hole pattern printing. In this study, we apply a new mask blank on Mask Enhancer in order to prevent the light intensity loss caused by the mask topography effect. We also perform to expose the new Mask Enhancer on the first ArF immersion scanner, ASML AT1150i. We demonstrate that the new Mask Enhancer can achieve 45nm-node contact hole printing with sufficient lithographic performance with combination of immersion lithography.
Sub 90nm DRAM patterning by using modified chromeless PSM at KrF lithography era
Young-Sik Kim, Yoon-Suk Hyun, Keun-Kyu Kong, et al.
The Most chip makers want KrF lithography is extended below sub 90nm lithography due to cost and process stability, even though ArF lithography has been growing and its performance is enough to apply to 90nm node. But process control of KrF lithography will become difficult at sub 90nm node because of patterning limitation of KrF lithography. Specially, mask error factor (MEF) is growing to be important for patterning. Generally, chromeless phase shifting mask (PSM) has known widely as a good solution for better patterning than any other PSM, but there are a few companies to apply this mask to their process due to some fatal weakness which are design complexity, difficulties of mask making, control of mask defect, and so on. This paper shows the new modified chromeless HTPSM has solution for some special pattern by using KrF lithography and compares with strong aperture result at 90nm node. The most of island pattern has different MEF with width and length. And patterning control of length axis has been very difficult from mask making to wafer printing. In addition, its control is seriously depended on width axis in the low k1 level. Patterning of storage node contact which is 1:2 duty with width and length axis is very difficult in general DRAM pattern, its MEF is over 5 at width axis and over 15 at length axis in 90nm node. Both axis controls are too hard to achieve good patterning simultaneously. Generally, chromeless PSM has a good MEF performance so we apply and create new modified chromeless HTPSM to overcome our storage node contact pattern. This PSM consist of three layers which are 0° Qz, 180° Qz, and 360° half-tone pattern. 180° Qz pattern play important role in this PSM as a assist pattern to achieve good patterning. First of all, we should make a choice of optimum layout and then we decide on how to make a mask because it is very important factor to control overlay accuracy between 0° Qz and 180° Qz pattern. This overlay accuracy affect to patterning result seriously. Modified chromeless HTPSM has strong patterning performance but overlay accuracy between major and assist pattern will be controlled tightly. This reason is what we hesitate to apply modified chromeless HTPSM to real device development.
Novel illumination apertures for resolution-enhanced technology and through-pitch critical dimension control
As line width shrunk down to 90nm and below, resolution-enhanced technology in combination with thinner resists, higher NA (numerical aperture), OPC (optical proximity correction), and special mask types becomes essential for standard lower k1 lithography. Although DDL (double dipole) is popular for low k1 technology, separated x- and y-direction mask exposures will complex the process and reduce throughput. Quadrupole (Nikon) and QUASARTM (ASML) are well-known technologies for smaller pitch approaches with 45o circuit design ruled out constraints. In this paper, we report novel customized-illumination apertures for resolution-enhanced patterns and through-pitch critical dimensions control using a single exposure without design constraint and alignment problems. Both simulation and real exposure results are compared and the difference between aerial images and real resist profiles are also presented. Through-pitch CD uniformity, MEEF, line-end shortening, linearity, and DOF is improved for different illumination apertures with reduced OPC loading and cost effectively.
Assessment of 5-pole illumination for 65nm-node contact holes
The greatest challenge for 65-nm contact holes and via printing is ensuring an acceptable process window (250-nm DoF @ 8% EL) for a wide range of pitches with a MEEF lower than 3.5. To print dense contact holes / vias with a CD less than 100-nm, very high Numerical Apertures (≥ 0.85) are required. Consequently DoF through pitch is dramatically reduced, such that it becomes absolutely necessary to develop new techniques to enhance process latitude. In this paper, we will study the use of customized illuminations formed by combination of small radius conventional illumination and quasar. Generically, this type of illumination is commonly referred to as 5-pole illumination. Specifically this paper, the “windmill” and “soft quasar” options are investigated. These designs are based upon the assumption, that there is a way to optimize for all pitches, the imaging lens pupil filling with diffracted orders. Using a combination of aerial image simulations and experimental (double) exposures, the optimal 5-pole illumination designs are derived, with their simulated performance being compared to conventional illumination settings. For the optimised designs, experimental data is presented for “real” device structures based on the Crolles2 65-nm technology design rules.
The problem of optimal placement of sub-resolution assist features (SRAF)
In this paper, we present a formulation of the Sub-Resolution Assist Feature (SRAF) placement problem as a geometric optimization problem. We present three independent geometric methodologies that use the above formulation to optimize SRAF placements under mask and lithographic process constraints. Traditional rules-based methodology, are mainly one dimensional in nature. These methods, though apparently very simple, has proven to be inadequate for complex two-dimensional layouts. The methodologies presented in this paper, on the other hand, are inherently two-dimensional and attempt to maximize SRAF coverage on real and complex designs, and minimizes mask rule and lithographic violations.
Implementation of contact hole patterning performance with KrF resist flow process for 80nm DRAM application
Currently, 193nm lithography including contact hole patterning is being integrated into manufacturable process at 80nm technology nodes. However, for 193nm contact hole patterning, many researchers have reported various troubles such as poor profiles, low exposure dose, and pattern edge roughness due to inherent flaws of ArF resist materials. Also, it is desirable to be extended the KrF lithography at a cost. Of course, the patterning of very small contact hole features for the 80nm DRAM device generation will be a difficult challenge for 248nm lithography. In this work, we study the potential for contact photoresist reflow to be used with 248nm photoresist to increase process windows of small contact dimensions at the 80nm DRAM device generation. In KrF 0.80NA scanner, resist flow process and layout optimization was carried out to achieve the contact hole patterning. The contact CD at best focus is 140nm and the amount of photoresist flow is approximately 52nm. For a contact hole with CDs of 88nm +/- 10%, Focus-Exposure windows over the wafer are 0.3um and 10%, respectively. In conclusion, we have successfully achieved the contact hole patterning with KrF resist flow process for 80nm DRAM device.
A novel assist feature for contact holes to overcome problematic pitches
Mars Yang, Francis Lin, Elvis Yang, et al.
Resolution and through-pitch common process window are two key factors to tackle for successful small contact process manufacturing. The off-axis illumination (OAI) in combination with assist feature and attenuated phase-shift mask (APSM) is the most common used solution. However, it is still difficult to avoid problematic pitches and get a sufficient through-pitch common process window for practical use unless forbidding the problematic pitches by design rule. A novel perpendicular assist feature (PAF) is proposed in this investigation, it is composed of a set of sub-resolution space perpendicular to the contact hole edge. The optical principles, design guidelines and tuning flow of PAF were described in this paper. The performance comparison between conventional assist feature (CAF) and PAF was also conducted in this study. Consequently, the PAF demonstrated better through-pitch photo performances on CD uniformity and mask error enhancement factor (MEEF), and most importantly, the problematic pitches issue occurred at CAF configuration was successfully eliminated.
Super diffraction lithography (SDL): fine random line pattern formation by single-exposure with binary mask
S. Nakao, J. Abe, A. Nakae, et al.
A novel RET named Super Diffraction Lithography (SDL), which enables 90~80 nm random line by single exposure in KrF wavelength, has been developed. A pair of bright lines, which sandwiches binary or Atten-PSM line and is surrounded by attenuating non-phase-shifting (Atten-NPS) area, is formed on a mask. The Atten-NPS area of the mask is composed with a small pad array whose pitch is finer than the resolution limit of projection optics. Then, this mask can be fabricated with a single layer patterning. When this mask is illuminated by an obliquely incident light with a specific incident angle, very sharp dark line image is formed at center of the bright lines. Because the outside of the pair is Atten-NPS area, image intensity for this area can become much higher than a slice level of the central dark line image, resulting in no resist pattern at the outside of the pair. By application of a sub-resolution assist feature (SRAF) for semi-dense pattern, fine line can be imaged throughout pattern pitch. Then, utilizing SDL, very fine random line can be formed by SINGLE EXPOSURE of SIMPLE STRUCTURE MASK. In KrF exposure at NA=0.82, 90 nm line with pitch of down to 240 nm can be achieved by a binary mask. Using 6% transmission Atten-PSM, 80 nm becomes possible. Moreover, 50 nm isolated line becomes feasible in KrF exposure by application of high transmission Atten-PSM. We believe that SDL is the most cost-effective and easily applicable RET for gate pattern formation in advanced logic devices.
Applications of CPL mask technology for sub-65nm gate imaging
The requirements for critical dimension control on gate layer for high performance products are increasingly demanding. Phase shift techniques provide aerial image enhancement, which can translate into improved process window performance and greater critical dimension (CD) control if properly applied. Unfortunately, the application of hard shifter technology to production requires significant effort in layout and optical proximity correction (OPC) application. Chromeless Phase Lithography (CPL) has several advantages over complementary phase mask (c:PSM) such as use of a single mask, and lack of phase placement 'coloring' conflicts and phase imbalance issues. CPL does have implementation issues that must be resolved before it can be used in full-scale production. CPL mask designs can be approached by separating features into three zones based on several parameters, including size relative to the lithographic resolution of the stepper lens, wavelength, and illumination conditions defined. Features are placed into buckets for different treatment zones. Zone 1 features are constructed with 100% transmission phase shifted structures and Zone 3 features are chrome (binary) structures. Features that fall into Zone 2, which are too wide to be defined using the 100% transmission of pure CPL (i.e. have negative mask error factor, MEEF) are the most troublesome and can be approached in several ways. The authors have investigated the application of zebra structures of various sizes to product type layouts. Previous work to investigate CPL using test structures set the groundwork for the more difficult task of applying CPL rules to actual random logic design layouts, which include many zone transitions. Mask making limitations have been identified that play a role in the zebra sizing that can be applied to Zone 2 features. The elimination of Zone 2 regions was also investigated in an effort to simplify the application of CPL and improve manufacturability of reticle through data enhancements.
Tunable transmission phase mask options for 65/45nm node gate and contact processing
Today the industry is filled with intensity-balanced c:PSM and much more focus is being placed on innovative approaches such as CPL (and in conjunction with IML for Contacts) and tunable transmission embedded attenuating phase shift mask (TT-EAPSM). Each approach has its own merits and demerits depending on the manufacturing strategy and lithography performance required. Currently the only commercially available photomask blanks are different chrome thickness binary and 6% attenuating blanks using molybdenum-silicide, making the accessibility to alternate transmissions much more challenging. This paper investigates the mask manufacturability of a tunable transmission embedded attenuating phase shift mask. New film materials that are used in the mask blank manufacture are modeled, deposited and characterized to determine its ability to meet performance requirements. Sputtering models, by rate and gas component, determines film stacks with tunable transmissions and thicknesses. Chemical durability, etch selectivity and thickness are a few parameters of the films that have been characterized to enhance the manufacturability and process reliability of the masks. Lithography simulation models using measured optical properties were developed and test masks that include actual device designs were fabricated. Analysis of CD variation, pattern fidelity and process margin was performed using 3D mask simulation to understand the impact on 65nm design rules. Feasibility and performance of tunable transmission photomasks for use in design and lithography are verified. Moreover, the mask manufacturability and lithography performance is compared to other enhancement techniques and their merits presented.
Assessment of complementary double dipole lithography for 45nm and 32nm technologies
The merits of complementary double dipole illumination using 193 nm exposure wavelength with water immersion for 45 nm and 32 nm nodes is investigated. Off-axis dipole illumination shows a significant improvement in the resolution for lines and spaces oriented along the direction perpendicular to the dipole orientation. However, there is also a significant loss of resolution along the dipole direction. Consequently, two dimensional circuit patterning requires a double exposure to improve the resolution in both directions. Thus, the original layout must be decomposed into two masks: one containing the features to be primarily imaged with one dipole and another one with features to be imaged in the complementary direction. The horizontal and vertical lines must be selected and protective patches are required on each mask to protect the pattern formed by the complementary exposure. The potential capability of the dipole illumination used in conjunction with the immersion lithography for 45 nm and 32 nm nodes will be described. The Mentor Graphic approach based on the model assisted decomposition for the Double Dipole Lithography (DDL) was applied to the small clips of the 2D layout of the gate level for random logic. The lithographic process window and the CD control will be estimated through simulation.
Post printing optimization with chromeless phase shifting mask
Yung-Tin Chen
We have shown that chrome-less phase shifting mask can greatly enhance the aerial image contrast and enable post printing as small as 0.26mm pitch with 0.7NA KrF photolithography. In this paper we explore the post printing resolution limit of chrome-less phase shifting mask with 0.7NA KrF photolithography. This new technique has been developed to print small post structures for Matrix three-dimensional memory devices. The photo mask includes a first area transmitting light in a shifted phase surrounded by second area transmitting light in an un-shifted phase. No light blocking material separates the first area from the second area. After development of photo resist, the transition between the first and second area causes formation of a residual photo resist due to phase canceling of light. If the size of first area is designed properly, it is nonprinting, i.e., the opposite sides of the residual photo-resist features formed at its perimeter merge, forming a contiguous photo-resist feature, and thus a corresponding patterned feature after etch. In this study, different Off Axis Illumination (OAI) techniques such as annular and QUASAR are tested. Optimum mask bias is studied in order to gain a maximum process window. The results are encouraging. At 0.26μm pitch, both QUASAR and annular illuminations show a process window of 0.5 μm DOF and 11% exposure latitude (EL). At 0.24 μm pitch, QUASAR illumination shows a process window of 0.5 μm DOF and 6.6% EL, while annular illumination shows a process window of 0.5 μm DOF and 10% EL at the same pitch, outperforms QUASAR. Annular illumination even shows a process window of 0.4 μm DOF and 6% EL at 0.22 μm pitch, which QUASAR illumination barely resolves the pattern. This study shows that the new chrome-less phase shifting mask provides a great opportunity for printing small post structures with 0.7NA KrF photolithography.
Larger depth of focus for increased yield
W. Thomas Cathey, Gregory Johnson
Large depths of focus can be obtained by modifying the pupil function of a projector lens. An image recorder with a threshhold greatly increases the number of phase functions that can be used. Simulations are given that show that it is feasible to increase the depth of focus by a factor of two or more by making modification of the phase in the aperture stop of the projector lens. Examples show new techniques that are used to design pupil-plane phase functions to produce images for contact holes with very little change over at least twice the normal focal depth. The penalty is that the side lobes are higher than for a system without modification in the pupil plane. A larger focal depth gives considerable potential cost savings. For example, the down time for focus correction of a lithographic system and re-work of wafers can be reduced if the focal depth of the projector is increased. Using the smallest numbers that were quoted, the down time for refocus (2% on 20% of the jobs) costs $4M/yr./machine. The 30% of re-work that is caused by focus problems costs $15M/yr./machine. Expensive flatter wafers need not be used. The cost of flatter wafers, when needed (10% of the time) with current machines, is more than $17M/yr./machine. Using the lowest numbers quoted, the totals for down time, re-work, and flatter wafers alone is $36M/yr./machine. In addition, masks can be cheaper because complexity for extending the depth of focus is not needed. We show the phase modifications that were used, how the phase modifications were found, and highlight the differences between the design techniques that we used and the previous work on extending the depth of focus.
Simple microscale selective patterning on a single nanowire by using an optical microscope
Dong Jin Oh, Boone Won, Kang Hyun Kim, et al.
A simple convenient way of forming a selective patterning on a single nanowire was demonstrated by using a conventional optical microscope. The fine resolution could reach approximately 5μm, which is enough to define electrode patterns on a single nanowire in a two-probe configuration. The photolithographic processes were carried out under the microscope with photoresist-coated substrate deposited by nanowires. Through the image capture and a proper configuration of the various home-made photomasks could produce a selective patterning on an individual nanowire successfully. Current-voltage characteristics of an individual GaN single nanowire were measured as a demonstration.
Illumination and Control
icon_mobile_dropdown
Influence of illumination non-uniformity on pattern fidelity
As projection optics have drastically improved over time, the effects of illumination non-uniformity have a more significant impact on the pattern fidelity. For instance elliptical uniformity results in a difference in sigma for horizontal and vertical features and radial non-uniformity results in a difference in through pitch patterning. This paper specifically explores the effects of radially non-uniform illumination on through pitch imaging. Explaining how annular illumination works and showing an example of selection of annular apertures for pitch tuning will allow us to understand the effects of illumination non-uniformity on imaging through pitch for standard disk profiles. A proof that this pitch tuning, which is the overlaying of diffracted orders at a given pitch, is mathematically equivalent to strong phase shift condition at small angles will be demonstrated. Simulations with Intel Photolithography Simulation tool (iPhotoTM), using measured illumination profiles collected with a Litel Source Metrology InstrumentTM (SMI) from two different back end metal lithography tools will demonstrate that the model data fits actual wafer data on a metal interconnect process for tools with different illumination profiles. This practical example illustrates the need for lithography engineers to do sensitivity studies of layers for their process on illumination non-uniformity & to set appropriate limits on the allowable range of illumination uniformity.
Hot spot free diffractive DUV-homogenizer for high NA-illumination
Matthias Cumme, Mirko Riethmuller, Dirk Mademann, et al.
In the optimization process of DUV-illumination systems for inspection tools and lithographic devices, more and more an exact control of angular distribution and homogeneity of the illumination will be required. On the one hand, diffractive homogenizers enable homogeneous illumination of areas with almost arbitrary shape with a high numerical aperture. On the other hand, diffractive optical elements produce a zero order or so called “hot spot”. If the optical axis is within the illuminated area, this hot spot will decrease the homogeneity of illumination. The zero order is caused by profile aberrations and its intensity can be decreased by increasing the fabrication accuracy. But the higher the numerical aperture, the larger the ratio between zero order brightness and brightness of the surrounding homogenized area. I.e., in cases of high NA the zero order of a homogenizer cannot be reduced to the brightness of the surrounding area. We present a novel approach of beam homogenization using a combination of two serially arranged diffractive optical elements that produces an intensity distribution without hot spot. Such compact two-element homogenizers have been realized for wavelengths down to 193nm. A homogenizer for 193nm producing a homogeneously illuminated rectangle with 0.3 NA will be presented.
What makes a coherence curve change?
With use of OPC on the rise, lithographers have now added coherence behavior to their list of important concerns about an imaging tool. Previously, parameters like nested-to-isolated bias were important. Now, we need to think about the behavior of an entire coherence curve, which is a plot of printed linewidth versus pitch for a set of features at constant reticle linewidth. While the precise shape of a curve may not be especially important, the lithographer will want to know the tool-to-tool variation and whether the curve will change with time. That variation is affected by at least both lens aberrations and illuminator pupil fill, and potentially by other factors as well, like flare or optics contamination. We have made a systematic study of coherence sensitivity to a host of potential factors, and we will present a sensitivity analysis, identifying the big and the small drivers of coherence-curve behavior.
Requirement of in-field illumination control for low k1 imaging below 0.3
Chan Hwang, Jangho Shin, Suk-Joo Lee, et al.
As device production is performed towards limits of k1 process, many issues are caused by lowering k1 value, which has been considered negligible at higher k1 value. Among these passed-over problems, illumination control error such as non-telecentricity is currently investigated in-depth. Comparing with projection lens, illumination system is not well verified and the amount of aberration is quite larger. Consequently, illumination pupil-fill has different shape along field position resulting in in-field distribution with a degree, which may lead to considerable critical dimension (CD) difference. Therefore, the effect of errors in illumination system should be evaluated to determine the required controllability of illumination system for low k1 imaging. Illumination control error is represented by the deformation and movement of the intensity profile in pupil plane and it can be decomposed into blurring, intensity unbalance and telecentric error or axis tilt, and so forth. In this paper, these components are computationally modeled and the modeling is implemented using an in-house lithography simulator. Using the modeling, the functionality of each illumination error component on CD variation can be separately resolved. The analysis results presented in this paper provide the relationship between CD control and required illumination control, and the allowable amount of control error for illumination can be estimated.
The impact of illumination on feature fidelity for CPL mask technology
Jan Pieter Kuijten, Arjan Verhappen, Will Conley, et al.
Various types of line ends have been evaluated for either straight CPL mask or hybrid type builds. The authors will focus on image line end shortening and the impact of through dose and focus performance for very high NA ArF imaging. Simulations on test structures have been calculated along with in photoresist simulations to predict the impact on process window capability. Test structures have been designed and fabricated into a functional test for evaluation. Process evaluations have been completed and exposure-defocus window calculated.
Influence of illumination tilt on imaging
Mark C. Phillips, Steven D. Slonaker, Chris Treadway, et al.
Tilt in the optic axis of the illumination system with respect to the projection lens manifests itself as a shift of the illumination source intensity distribution in the pupil plane of that projection lens. The impact of this error category upon various types of patterns and among various imaging configurations is studied through image simulation as well as experiment. Issues addressed will include:-Methods of measuring illuminator tilt -Sensitivity of sample cases to illumination tilt, including pattern placement issues as well as pattern fidelity issues -Aberration influence and coupling with illumination tilt influences -Ramifications of illuminator tilt impacts. Finally, comments will be made regarding this error category as it may impact the increasingly stringent process complexities and reducing linewidth sizes required by the processes being developed for the near future.
Design restrictions for patterning with off-axis illumination
Patterning of dense gratings with sub-wavelength pitches presents a challenge that can be addressed using Resolution Enhancement Techniques (RETs) such as dipole illumination, with the dipole axis perpendicular to the dense line orientation. However, this approach leads to pitch and orientation limitations that must be accommodated in layout practices and design rules. In this work we evaluate the impact that dipole illumination has on the process window of isolated lines and loose pitch lines parallel and orthogonal to the dipole axis, and demonstrate the use of OPC and design restrictions to minimize this impact. Semi-dense and isolated features need to be treated as a function of their orientation with respect to the dipole. Specifically, isolated features oriented along the axis of the dipole have larger process margins than the same feature oriented perpendicular to this axis. We systematically explore the process margins for various CDs, pitches and orientations, and compare the results with simulations. We demonstrate that the dipole illumination restricts the ranges of sizes, pitches and orientations that can be printed with sufficient process margin. Knowledge of these restrictions and comparing them with simulation enables us to evaluate the suitability of simulations as a predictor for design rules to restrict layout. The results enable us to propose design rules that would enable single-mask solutions for layers using dipole illumination.
Contamination and Control
icon_mobile_dropdown
The effect of polarized 193nm irradiation on photomask haze formation
Various sources contribute to mask haze formation including: chemical residuals from mask cleaning, out-gassing from pellicle glue/materials, and contaminants from the scanner ambient. This joint work examines cleaning techniques for haze minimization and whether or not there is haze formation after continuous laser irradiation. Masks with various designs and different cleaning techniques were tested in an ideal environment, isolated from out-gassing or other possible contaminants from the fab environment. Masks with and without patterns were subjected to 40kJ, accumulated dose, of laser radiation to simulate a wafer fab environment. Ion Chromatography (IC) and other surface analytical techniques were used to check the surface condition of masks before and after laser exposure. No haze was found on masks through transmission and IC measurements, when the test chamber was N2 purged. This may suggest that new cleaning techniques have helped reduce chemical residuals on masks. It is less likely for haze to grow when masks are clean to an ionic level and when laser exposure occurs in an uncontaminated, purged environment.
In-line monitoring of acid and base contaminants at low ppt levels for 193nm lithography
Roel Gronheid, Rida Al-Horr
In this paper, a technique for in-line monitoring of acid and base contamination is described. The technique is applied to purge gas monitoring and the air at the in- and outlet of the active charcoal filters on an ASML PAS5500/1100 193nm scanner is analyzed. Unparalleled lower detection limits (LDL) were obtained, especially for acid detection, where LDLs below 10ppt for SOx were achieved. At the inlet high contamination levels (0.5-3ppb) of NO/HONO and SOx are detected. The filters effectively remove the SOx contamination. The residual SOx contamination could be measured and the average was found to be ~2ppt, corresponding to a filtering efficiency of 99.8%. The filtering efficiency for NO and HONO is significantly lower and was found to be ~98%, which is in agreement with previous reports.1
Some aspects on mechanisms responsible for contamination of optical components in DUV lithographic exposure tools
Hans Fosshaug, Mats Ekberg, Gunnar Kylberg
Lithographic exposure tools in the deep-ultraviolet (DUV) region face challenges with contamination. Airborne molecular contamination is generally recognized as a severe threat in high-volume production of integrated circuits (ICs), and has recently also become of a concern in patterning of masks. When using high-energy photons at 248nm wavelength or lower, the risk of contamination may increase due to higher potential of breaking molecular bonds of organic species in the ambient of the optics. Especially resist outgassing during exposure may result in a build-up on the surface of the lens. The photodissociated molecules may readily deposit on the optics depending on the interaction between the contaminants and the lens surface and possibly cause a loss of transmission of light with time. Eventually the growth of the deposit will severely impact the throughput of the exposure tool, and in the worst case, necessitate a replacement of lens elements. Contamination control is therefore crucial for cost-effective DUV wafer and mask manufacturing. Trustworthy measurement methods as well as deep understanding of the mechanisms involved are of vital importance in order to understand and prevent molecular contamination. This paper discusses some of the factors influencing the deposition of hydrocarbon contaminants and also simulation work related to investigation of resist outgassing and contamination issues in the Sigma7300 laser pattern generator.
Image Quality and Characterization
icon_mobile_dropdown
Long-term Zernike lens aberration measurement
Monitoring long-term performance of projection optics in lithographic exposure systems will become more and more important, especially for 193nm wavelength. Various effects influence the quality and long-term stability of a lens projection system. Using the well known and established blazed phasegrating method, it is possible to identify lens degradation before it becomes a significant detractor in a manufacturing process. A two beam interferometer formed by a blazed grating reticle is used to measure the aberration values. This works for all DUV tools, and therefore it allows a comparison of tools from different suppliers. The test can be run after regular preventive maintenance or as daily monitor checks, in order to evaluate lens aberration over time. By storing the results, it is easy to generate a tool individual database. With this paper, we will show aberration data over time and the possibility to increase tool performance and stability.
Development of aerial image based aberration measurement technique
Tsuneyuki Hagiwara, Naoto Kondo, Irihama Hiroshi, et al.
To maintain the best imaging performance of current high NA DUV scanners, in-situ aberration measurement is becoming more important than ever. In this paper, we present an aerial image based aberration measurement technique that can measure the aberrations up to 37th Zernike polynomial term. Our aberration measurement technique uses aerial image sensor (AIS) on DUV scanners. AIS is a slit scanning type aerial image sensor that can capture the one-dimensional intensity distribution of aerial images. Unlike previous photo resist image based aberration measurement technique, presented technique does not require the three-beam interference condition or the two-beam interference condition because it utilizes the image intensity information. This can eliminates the geometrical restriction in determination of the pupil sampling points. Thus, we made optimization of pupil sampling so that it can minimize the random error propagation in each Zernike coefficients. This optimization was done on a trial and error basis and we observed that the random error propagation significantly depended on pupil sampling plan. The measured aberration was correlated to the programmed aberration induced by lens element displacement. Also the measurement repeatability was evaluated and confirmed. The overall performance of this aberration measurement technique is found to be appropriate for in-situ aberration monitor of current high NA scanners.
Mid-range flare measurement and modeling
Kazuya Sato, Kenji Chiba, Kei Hayasaki, et al.
Mid-range flare (MRF) of an ArF exposure tool induces resist critical dimension (CD) variation depending on local mask (or resist) pattern density. CD correction techniques such as mask CD modification are needed to compensate MRF-induced CD variation and obtain sufficient resist CD uniformity. For this purpose, MRF should be accurately characterized and distinguished from other factors of similar influence caused by photoresist, mask, and so on. We have investigated a method of measuring magnitude and affected range of MRF in an exposure tool easily. In this method, double exposure of L/S with large area and a small square "window" in a widely shielded area is executed on a positive photoresist layer. After bake and development, resist CDs of the L/S are measured from near the window to far from the window using scanning electron microscope. An overcoat layer is used to exclude the influence of acid evaporation from photoresist and re-sticking. L/S mask shows small CD variation because local pattern density is uniform, and so influence of mask error on MRF measurement is small. Influence of MRF is shown in the graph of distance from window edge versus CD. From the distance-ΔCD curve, point spread function (PSF) which represents the characteristics of MRF can be obtained. Comparison of experimentally obtained MRF-induced CD variation, which appears in the periphery of a memory cell area, and calculated CD variation using the PSF obtained in the exposure tool showed good agreement.
The analysis of the criteria of phase error by evaluating the influence of lens aberration on the lithographic performance
We investigated the influence of lens aberration on the lithographic performance according to the phase error and topography effects of phase-shift mask (PSM). Twin-bar and isolated pattern showing high sensitivity to lens aberration were used for this study. The simulation of aberrated images was carried out using the Solid-CTM simulator. Specially, we quantified the relationship between patterning behaviors such as the isofocal tilt, the left-right (L-R) CD difference and the Z7 and Z9 individual Zernike coefficients. Isofocal tilt aberration sensitivity for Z9 was 0.4nm/nm, which resulted in 2nm CD variation using lens with 5nm Z9 value. When using the lens with 5nm Z7 value, the L-R CD difference and its sensitivity are 10nm and 2nm/nm, respectively. Finally, we evaluated the patterning performance by phase error effect, and determined the phase error criteria for PSM. The pattern placement error was increased by increasing phase error as well as Z7 value, while its slope to the defocus was similar regardless of lens aberration. However, it was found that the aberration sensitivity was not affected by phase error. The simulation predicted that the sensitivity of lens aberration could be increased due to mask topography effect. The nominal shift of phase edge attributed to mask topography was measured.
Characterization of imaging performance: considering both illumination intensity profile and lens aberration
Takeaki Ebihara, Hideyuki Saito, Takafumi Miyaharu, et al.
Achieving accurate low k1 imaging performance requires that the illumination intensity profile (effective light source profile) no longer be neglected. Simultaneously, simulation techniques have taken on an unprecedented level of importance because it is not practical for all low-k1 imaging applications to be performed experimentally. The impetus is now on the simulation to efficiently narrow down the numerous those options. Moreover, we are concerned that current metrology methods, such as the SEM, will be no longer be used with full confidence in terms of data reliability and accuracy because the specification may reach its measurement limit and the sample reproducibility may dominate the CD budget. We therefore anticipate that a simulation, which incorporates all factors potentially impacting performance, will predict experimental results accurately and repeatedly. We have been newly developing a reticle-based metrology tool, entitled REMT (Reticle Effective light source Measurement Tool), to precisely quantify the illumination shape. The illumination light, which first passes through a pinhole and traverses an optical path within REMT, is then detected by a CCD camera located over the reticle stage to form the illumination intensity profile. The measurement reproducibility of the σ size for REMT is less than ±0.0002. We have developed a lens metrology tool, entitled SPIN (Slant projection through the PIN-hole), to accurately quantify lens aberrations. SPIN is also a reticle-based metrology tool, with repeatability of less than 1mλ. In this paper, we will investigate Left-Right CD Difference (LR-CD), the well-known detection method for coma aberration, comparing experimental results with those from simulations that consider both lens aberrations and illumination shape as measured by SPIN and REMT, respectively. In this discussion, the factors causing LR-CD for dipole illumination will be also analyzed and quantified.
Are pattern and probe aberration monitors ready for prime time?
The first experimental results for interferometric pattern and probe-based aberration monitors designed for use at 193nm wavelength have been obtained using the Zeiss Aerial Image Measurement System (AIMSFab 193TM). Designs developed earlier are being tested on phase-shifting masks in collaboration with Photronics Inc. for use as precision instruments to measure aberrations. Comparison of the results with SEM measurements of the mask and simulations help to characterize second-order effects due to mask topography, high-NA electric-field vector addition, and mask fabrication tolerances in projection printing of advanced process monitors on special phase-shifting test reticles. For this study the aberration targets have been factored into their basic elements, such as probes, rings, lines, and rings surrounding probes. Through-focus studies of well-formed 120nm probes showed peak intensities for actual mask dimensions that were below ideal mask values by a factor of 0.70, 0.49, 0.26, and 0.29 for 0°, 90°, 180°, and 270°, respectively. Measurements for lines and outer rings were consistent with probes and showed intensities of 0.86 and 0.61 of those expected for ideal 0° and 180° 125nm lines in wafer dimensions. The focus sensitivity of the composite mask was clearly larger than that of typical features. However, to leverage the full sensitivity from interference with the probe, the probe must be resized as a function of its phase depth due to electromagnetic effects and the probes must be protected by the use of larger 2D feature biases. Operation at a partial coherence factor of 0.15 or below is recommended to preserve the contribution of the second ring and balance out unwanted proximity effects.
Considering the flare by introducing the random aberration and non-conserved aberration
Masato Shibuya, Nobuaki Watanabe, Hiromi Ezaki, et al.
We have already revealed that wavefront aberration can be categorized into figure and random aberrations and also concluded that the phenomenon of local flare can be explained by the concept of random aberration [1]. In this report, considering the finer undulation of wavefront aberration, we introduce the new concept of non-conserved wavefront aberration. Considering these classified aberrations, we discuss the flare.
Automated aberration extraction using phase wheel targets
An approach to in-situ wavefront aberration measurement is explored. The test is applicable to sensing aberrations from the image plane of a microlithography projection system or a mask inspection tool. A set of example results is presented which indicate that the method performs well on lenses with a Strehl ration above 0.97. The method uses patterns produced by an open phase figure1 to determine the deviation of the target image from its ideal shape due to aberrations. A numerical solution in the form of Zernike polynomial coefficients is reached by modeling the object interaction with aberrated pupil function using the nonlinear optimization routine over the possible deformations to give an accurate account of the image detail in 2-D. The numerical accuracy for the example below indicated superb performance of the chosen target shapes with only a single illumination setup.
The evaluation of aberration effects according to pattern shape and duty ratio
Ji-Eun Lee, Seung-Wook Park, Chang-Ho Lee, et al.
The most important issue in lithography as a semiconductor process is to obtain the minimum resolution. In order to obtain the minimum resolution with processible depth of focus, the numerical aperture is gradually increased and the exposure wavelength is also decreased. The effect of aberration is also increased as a result. It was not much needed to consider the aberration effects for the critical dimensions (CD) greater than around 300 nm. However, it is greatly necessary to consider the effect of aberration for CDs smaller than 100 nm in order to obtain the best process condition. The purpose of this study is to evaluate the aberration effect of the projection system for the specified node and shape of pattern. Evaluation is made by comparing the various aberration effects for the different exposure wavelengths, different shapes such as isolated, line and space, contact hole and L-shaped patterns, and also for the duty ratio by using commercial lithography simulator, SOLID-C [1].
Understanding of the depth of focus evolution from an analysis of the iso-focal point
For the 90nm node and below the Depth of Focus (DOF) becomes more and more critical. To increase the DOF lithographers have introduced resolution enhancement techniques (RET) such as sub-resolution assist features (SRAF) which are today largely used by the semiconductor industry for 120nm, 90nm and 65nm technologies. Bruce Smith [1] showed that the improvement of the DOF from the adding of the scatter bars depends on the position of the iso-focal intensity threshold compared to the critical dimension (CD) intensity threshold. When these two points are at the same position the DOF is maximum. This paper shows the theoretical link between the iso-focal point and the evolution of the DOF. It will be shown that the link between these two parameters can be described by a simple equation. The theoretical expression shows a good estimation of the DOF evolution. The theoretical evolution of the iso-focal point is obtained from the expressions of the intensity. We will see that its variation is basically a function of the transmission and of the diffraction orders interfering. The expressions giving the evolution of the iso- focal point follows the trends obtained by conventional lithography simulation. We have studied the theoretical evolution of the iso-focal point for the mask types used by the semiconductor industry such as binary, alternating and attenuated phase shift masks. We will also see how this evolution of the iso-focal point impacts the depth of focus and that the DOF can be improved by an adjustment of the iso-focal point.
Photoresist modulation curves
Photoresist modulation curves are introduced as a quantitative way to characterize the photoresist process performance when used as a detector in a microlithographic system. The new method allows predicting exposure latitude of the photoresist process across a wide range of resolutions and modulation levels of the aerial image. The data collection process is demonstrated using an immersion interference system, capable of variable resolution and full control over the modulation of the delivered aerial image.
Synthetic defocus in interferometric lithography
Interference lithography has been widely utilized as a tool for the evaluation of photoresist materials, as well as emerging resolution enhancement techniques such as immersion lithography. The interferometric approach is both simple and inexpensive to implement, however it is limited in its ability to examine the impact of defocus due to the inherently large DOF (Depth-of-Focus) in two-beam interference. Alternatively, the demodulation of the aerial image that occurs as a result of defocus in a projection system may be synthesized using a two pass exposure with the interferometric method. The simulated aerial image modulation for defocused projection systems has been used to calculate the single beam exposure required to reproduce the same level of modulation in an interferometric system through the use of a “Modulation Transfer Curve”. The two methods have been theoretically correlated, by way of modulation for projection illumination configurations, including quadrupole and annular. An interferometric exposure system was used to experimentally synthesize defocus for modulations of 0.3, 0.5, 0.7 and 1.0. Feature sizes of 90nm were evaluated across dose and synthetic focus.
Correction of the phase retardation caused by intrinsic birefringence in deep UV lithography
In the year 2001 it was reported that the birefringence induced by spatial dispersion (BISD), sometimes also called intrinsic birefringence, had been measured and calculated for fluorides CaF2 and BaF2 in the deep UV range. It was also shown that the magnitude of the BISD in these cubic crystals is sufficiently large to cause serious problems when using CaF2 for lithographic objectives at 157 nm and possibly also in the case of high numerical aperture immersion objectives at 193 nm. Nevertheless the single-crystal fluorides such as CaF2 are the only materials found with sufficient transmissivity at 157 nm and they are widely used at 193 nm for chromatic correction. The BISD-caused effects lead to the loss of the image contrast. In this work we discuss issues related to the design of optical systems considering the BISD effect. We focus on several approaches to the compensation of the BISD-related phase retardation and give examples of lithographic objectives with the compensated phase retardation.
Pupil optimization of incoherent imaging systems for improved CD linearity
The CD linearity error rather than other light beam characteristics, such as optical resolution, is only important for microlithography. Optimization of CD linearity can be achieved by proper design of optical system. The method of variations is used here for direct solution of the problem to get the optimal design, the best possible pupil function of final lens in particular. The resulting optimal design depends from the allowed CD linearity error threshold only. In particular, this theory shows that an i-line mask writer should be capable of writing sub-0.25 micron lines and spaces with less than 20 nm CD linearity error (for λ=413nm and NA=0.86). The optimization method can be used in different models of light propagation, vectorial Debye model is used here in particular. The method is computationally simple since it turns out to be an eigenvalue problem for linear system of equation. Various light polarizations can be utilized. The method is also applicable for partially coherent imaging systems.
Quantum image-forming theory beyond diffraction limit
We formulate an image-forming optical theory of quantum lithography in which Entangled-photon pairs generated by spontaneous parametric down-conversion play an important role. Our optical system consists of an image-forming system, an illumination system with a second-order nonlinear medium, and two-photon absorbing materials. We evaluate the resolution of the quantum lithography system by using the optical transfer function and show a super-resolving condition which is, however, difficult to achieve.
Poster Session: Low-K1 Process Control and Performance
icon_mobile_dropdown
Feasibility study of sub-65nm contact/hole patterning
Yung Feng Cheng, Te Hung Wu, C. L. Lin, et al.
In 65nm and beyond generations, contact/via patterning is more challenging due to the complexity of manufacturing masks and the weak lithography process window. High NA scanners and suitable illumination can provide the desired resolution and dense pitch. However, there are trade-offs between process window, mask error enhancement factor (MEEF), and proximity effect. Some assistant technology is reported in literature, such as thermal flow, RELACS, SAFIER and sub-resolution assistant features. In this paper, we report a detailed study of the feasibility and limitations of these kinds of methods. Finally, we describe sub-resolution assistant features when used in QUASAR illumination with lower sigma, which have shown great promise to reduce the proximity effect and MEEF to get a larger lithography process window.
Image and Process Modeling I
icon_mobile_dropdown
The basis for lithographic modeling
This paper will go back 30+ years to look at the work that led toward the lithographic models we use today. Although lithography was a very old art, going back to the 1840's, it was relatively new to what we now know as microelectronics. While circuit designers were already beginning to use sophisticated design and modeling techniques, most process models were extremely simple and often not very accurate. For those working in photolithography, there was almost nothing except for optical image models which, while helpful, were not a model of the lithography process. The original publication of this work was in a series of articles in the IEEE Transactions on Electron Devices, Vol ED-22, July 1975.
Contamination and Control
icon_mobile_dropdown
Examination of the prevalence and abundance of noncondensable, nonreactive optical surface contaminants in the scanner environment
F. Belanger, P. Cate, A. Grayfer, et al.
With the advent of 193 nm scanners, concerns about species with the potential to deposit films on unprotected optical surfaces has uncovered a long list of reactive and condensable compounds that have occupied the efforts of exposure tool and filter system suppliers for the past several years. As more experience and data is gathered from 193 nm tools now running in volume production fabs, new classes of noncondensable, nonreactive species of concern have been discovered. Some of these compounds contain refractory elements such as Si and P and can potentially lead to permanent lens contamination. The authors describe work performed to better understand the prevalence and abundance of such refractory compounds, with special emphasis on advanced sampling and analysis methods needed to accurately characterize and quantify the species of interest. Data from used filter post-mortem analysis is compared with standard airborne sampling shows a much richer data set in which trace species can be examined. Progression of such species through a serial filter array provides new insight to filter kinetics and prediction of filter performance through life.
Prediction of the lens lifetime by monitoring lens degradation on laser-based microlithography tools
Albert Michaeli, Eylon Rosner, Yehuda Root, et al.
The bulk stability of the lens material in Deep-UV lithography (Fused Silica and Calcium Fluoride), as well as the susceptibility of the lens anti-reflection coating to a thin layer of chemical contamination during laser irradiation over long period of time, are the keys for advanced lithography systems lifetime. Lens degradation impacts laser-based exposure systems’ performance and therefore affects the product quality. There is a need for careful monitoring and prediction of lens lifetimes. This paper describes a method to calculate the degradation rate of optics and the lifetime prediction of these systems, along with some possible mechanisms for imaging degradation and factors that accelerate the degradation process. Currently, 'Pulse count' methods are used for such calculation; here we describe a new 'Energy based' method equal to 'Cumulative energy' through the projection lens. The paper compares the two methods using actual cases and shows the benefits of using the proposed method. We also suggest some new ways to deal with the problem. In addition, we report the learning from a project which entails developing a software application for automatic continuous tracking of degradation rates as well as the lens lifetime prediction across all Intel's laser based lithography tools.
Developments in RET
icon_mobile_dropdown
Double patterning scheme for sub-0.25 k1 single damascene structures at NA=0.75, λ=193nm
M. Maenhoudt, J. Versluijs, H. Struyf, et al.
Using 193nm lithography at NA=0.75, the minimum pitch that can be obtained in a single exposure is 160nm for dark field structures that are used in single damascene interconnect processing. In order to evaluate the critical electrical parameters for the smaller technologies, a double patterning scheme has been developed to obtain electrical structures at pitches from 140nm down to 100nm. This corresponds to k1-factors of 0.27 to 0.19 for dense trenches. The designs have been split up into two layers at more relaxed pitch (twice the final pitch). The first step consists in patterning a small semi-isolated trench at this more relaxed pitch. Because of the limited resist resolution for semi-isolated trenches, shrink techniques such as resist reflow or RELACS are needed. After etching this first layer into a low-k material or metal hard mask, planarization of the topography is critical before performing the second exposure. The second exposure is then identical to the first one, but overlay to the first layer is extremely critical in order to get a reasonable process window. In this paper, we illustrate the feasibility of the double patterning technique for early sub-65nm-node evaluation of low-k materials. The resolution and processing limits will be shown for single layer resist processing with RELACS shrink for 193nm lithography at NA=0.75. The planarization for the second photo is done using organic BARC. We will also quantify the overlay requirements to measured and introduced overlay errors.
Polarization and High NA
icon_mobile_dropdown
Polarized light for resolution enhancement at 70 nm and beyond
Rainer Pforr, Mario Hennig, Max Hoepfl, et al.
We have investigated the impact of light polarization on the imaging performance of a high NA 193nm wafer scanner. This system allows the usage of well linear polarized light for imaging at several illumination modes. The printing performance of critical DRAM features have been investigated for various mask types like attenuated, chrome-less and alternating PSM using polarized and depolarized light. Moreover various illumination schemes such as circular, cross-pole and dipole illumination have been tested for different light polarization settings. An improvement of the resolution and the process window, and a reduction of the mask error enhancement factor compared to depolarized light have been obtained using appropriately chosen linear polarization. The influence of light polarization on the proximity behavior has been studied. Under investigation was specifically the proximity behavior change for mask features with attached sub-resolution assist features. Experimental data of the influence of the polarization on the intra-field CD uniformity of densely packed features of critical DRAM layers are presented. Based on the obtained measurement data the CD control improvement potential has been analyzed. Our experimental and simulation results verify that light polarization has resolution enhancing potential already for features of the 70nm node and - of course - beyond.
Image Quality and Characterization
icon_mobile_dropdown
ILSim: a compact simulation tool for interferometric lithography
Interference imaging systems are being used more extensively for R&D applications where NA manipulation, polarization control, relative beam attenuation, and other parameters are explored and projection imaging approaches may not exist. To facilitate interferometric lithography research, we have developed a compact simulation tool, ILSim, for studying multi-beam interferometric imaging, including fluid immersion lithography. The simulator is based on full-vector interference theory, which allows for application at extremely high NA values, such as those projected for use with immersion lithography. In this paper, ILSim is demonstrated for use with two-beam and four-beam interferometric immersion lithography. The simulation tool was written with Matlab, where the thin film assembly (ambient, top coat, resist layer, BARC layers, and substrate) and illumination conditions (wavelength, polarization state, interference angle, demodulation, NA) can be defined. The light intensity distributions within the resist film for 1 exposure or 2-pass exposure are displayed in the graph window. It also can optimize BARC layer thickness and top coat thickness.