Proceedings Volume 5753

Advances in Resist Technology and Processing XXII

cover
Proceedings Volume 5753

Advances in Resist Technology and Processing XXII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 4 May 2005
Contents: 23 Sessions, 126 Papers, 0 Presentations
Conference: Microlithography 2005 2005
Volume Number: 5753

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Invited Session: Future Challenges for Lithographic Materials
  • Materials for Immersion Lithography I
  • Materials for Immersion Lithography II
  • 157-nm/193-nm Materials
  • 157-nm/193-nm Resist Processing I
  • 157-nm/193-nm Resist Processing II
  • Resist Fundamentals I
  • Resist Fundamentals II
  • Line-Edge Roughness
  • ARC/EUV
  • Novel Processing
  • Novel Materials/Applications
  • Novel Processing
  • Immersion Lithography Materials Challenges
  • Poster Session/157-nm Materials
  • 193-nm Materials
  • ARC/Bilayer
  • EUV/E-beam
  • Immersion
  • EUV/E-beam
  • LER
  • Novel Materials/Applications
  • Novel Processing
  • Novel Materials/Applications
  • Pattern Collapse/Defectivity
  • Resist Fundamentals
  • Resist Processing
  • Simulation
  • Immersion
  • Simulation
  • Resist Fundamentals
  • Pattern Collapse/Defectivity
Invited Session: Future Challenges for Lithographic Materials
icon_mobile_dropdown
Materials for future lithography
Seung Wook Chang, Da Yang, Junyan Dai, et al.
The demands for high resolution and issues of line edge roughness require a reconsideration of current resist design strategies. In particular, EUV lithography will provide an opportunity to examine new resist concepts including new elemental compositions and low molar mass resists or molecular resists. In the former case, resist compositions incorporating elements such as silicon and boron have been explored for EUV resists and will be described. In an example of the latter case, molecular glass resists have been designed using synthetic architectures in globular and core-arm forms ranging from one to multiple arms. Moreover, our studies include a series of ring and irregularly shaped small molecules modified to give imaging performance. These materials have been explored to improve line edge roughness (LER) compared to common polymer resists. Several examples of polymeric and molecular glass resists will be described. Several compositions showed high glass transition temperatures (Tg) of ~ 120°C and possessed no crystallinity as seen from XRD studies. Negative-tone molecular glass resists with a T-shaped phenolic core structure, 4-[4-[1,1-Bis(4-hydroxyphenyl)ethyl]]-α,α-dimethylbenzylphenol, have demonstrated feature sizes as small as 50mn. Similarly, negative-tone images made using spiro-based compounds showed feature size as small as 60nm in lines/space patterns using e-beam lithography. Most recently we have demonstrated that fully and partially tert-butoxycarbonyl (t-Boc) protected calix[4]resorcinarene derivatives can be successfully studied as a positive-tone resist using EUV and E-beam lithography. Resolution as low as 35nm was obtained by EUV exposure.
Materials for Immersion Lithography I
icon_mobile_dropdown
Material design for immersion lithography with high refractive index fluid (HIF)
Takashi Miyamatsu, Yong Wang, Motoyuki Shima, et al.
ArF immersion lithography is considered as the most promising next generation technology which enables to a 45 nm node device manufacturing and below. Not only depth of focus enlargement, immersion lithography enables to use hyper numerical aperture (NA) larger than 1.0 and achieve higher resolution capability. For 193nm lithography, water is an ideal immersion fluid, providing suitable refractive index and transmission properties. Furthermore the higher refractive index fluid is expected to provide a potential extension of optical lithography to the 32 nm node. This paper describes the material design for immersion lithography with high refractive index fluid. We have developed promising high refractive index fluids which satisfy the requirement for immersion fluid by screening wide variety of organic compounds. The physical and chemical properties of this high refractive index fluid are discussed in detail. Also the topcoat material which has good matching with high refractive index fluid is developed. While this topcoat material is soluble into aqueous TMAH developer, it does not dissolve into water or high refractive index fluid and gives suitable contact angle for immersion scan exposure. Immersion exposure experiments using high refractive index fluid with and w/o topcoat material was carried out and its lithographic performance is presented in this paper.
Impact of water and top-coats on lithographic performance in 193-nm immersion lithography
We have investigated the impact of water and top-coats on the resist in water immersion lithography by analyzing the dissolution behavior and the film constitution. We used a resist development analyzer (RDA) and a quartz crystal microbalance (QCM) to study the dissolution behavior. The film constitution was studied through the gradient shaving preparation (GSP) method in combination with TOF-SIMS. The GSP/TOF-SIMS method reveals the constitution of a top-coat/resist film. We found that, in a resist, the photo acid generator (PAG) anion at a depth of about 30 nm from the surface leached into water and a surface insoluble layer formed during immersion. The estimated amount of leaching was about 5% of the original content. The formation of an intermixing layer with a low dissolution rate was observed for some top-coat and resist combinations. The thickness of the intermixing layer and the formation behavior were made clear. We believe the intermixing layer was caused by the top-coat solvent eluting resist components. In a top-coat, a PAG existed within the top-coat and the PAG anion leached into the water. Top-coats blocked gaseous decomposed products from the resist film during PEB. These results are useful for estimating patterning characteristics and the defectivity due to materials for actual immersion exposure.
Water distribution within immersed polymer films
The emergence of immersion lithography for the extension of current lithography tools requires a fundamental understanding of the interactions between the photoresist and the immersion liquid such as water. Neutron reflectometry was used to measure the water concentration depth profile within immersed photoresist films. The bulk of the films swelled to the equilibrium water concentration. However a gradient in water concentration was observed near the polymer/substrate interface. Dependent on the relative hydrophilicity of the polymer and the substrate, either a depletion or excess of water was observed at the interface. Using HMDS treated silicon wafers as the substrate results in approximately 17% water by volume at the interface. The interfacial concentration decreases (or increases) to the bulk water solubility limit approximately 40 Å from the substrate. As the total film thickness approaches this length scale, the substrate induced concentration gradients lead to a film thickness dependent swelling; enhanced or suppressed swelling is witnessed for the excess or depleted interfacial concentrations, respectively. Variation of the substrate surface energy allows for tuning of the interfacial water concentration, ranging from 30% to less than 1% water by volume.
Materials for Immersion Lithography II
icon_mobile_dropdown
Study and control of the interfacial mass transfer of resist components in 193-nm immersion lithography
Shinichi Kanna, Haruki Inabe, Kei Yamamoto, et al.
The interfacial mass transfer issues of resist components in ArF immersion lithography were investigated both for topcoat resist system and for non-topcoat resist system. PAGs and photoacids are known for the major components that leach out from the resist to water and topcoat has been simply expected to shut off this leaching. In this study, topcoat layer was acutally verified significantly to reduce the PAG leaching, but PAG (or photoacid) diffusion from the resist to topcoat was found as another issue. This resulted in T-top formation in the imaging due to the loss of photoacid nearby the resist surface caused by this diffusion. A material approach to reduce this interfacial diffusion was proposed through a thermodynamic consideration of the materials system. The free energy change for PAG-polymer solubilization is the key and the Solubility Parameter value was considered to represent a good measure of this free energy change. The experimental results well matched this analysis. On the other hand, a perfect suppression of the leaching without using topcoat would be more desirable if it is feasible. The influence of structural parameter of the resist component was investgiated. The hydrophobicity of PAG was found to well correlate inversely to the leaching amount of the PAG into water, and thereby a technical guideline was obtained in designing resist materials to make them resistant to the leaching. By optimizing each resist component on this bases, we actually demonstrated a resist that showed the PAG leaching level reduced down to 10-13[mol/cm2], which we believe far below to what we are today with conventional dry resists. A resist sample was thus developed targeting the non-topcoat approach and was examined for its imaging performance with a realistic immersion lithography tool without using any topcoat. The resist actually showed good imaging results fully with the benefits that we expected with the immersion exposure.
Progress toward developing high performance immersion compatible materials and processes
To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.
Understanding the photoresist surface-liquid interface for ArF immersion lithography
Will Conley, Robert J. LeSuer, Frank F. Fan, et al.
Extraction of small molecule components into water from photoresist materials designed for 193 nm immersion lithography has been observed. Leaching of photoacid generator (PAG) has been monitored using three techniques: liquid scintillation counting (LSC); liquid chromatography mass spectrometry (LCMS); and scanning electrochemical microscopy (SECM). LSC was also used to detect leaching of residual casting solvent (RCS) and base. The amount of PAG leaching from the resist films, 30 - 50 ng/cm2, was quantified using LSC. Both LSC and LCMS results suggest that PAG and photoacid leach from the film only upon initial contact with water (within 10 seconds) and minimal leaching occurs thereafter for immersion times up to 30 minutes. Exposed films show an increase in the amount of photoacid anion leaching by upwards of 20% relative to unexposed films. Films pre-rinsed with water for 30 seconds showed no further PAG leaching as determined by LSC. No statistically significant amount of residual casting solvent was extracted after 30 minutes of immersion. Base extraction was quantified at 2 ng/cm2 after 30 seconds. The leaching process is qualitatively described by a model based on the stratigraphy of resist films.
Study of barrier coats for application in immersion 193-nm lithography
Francis Houlihan, Wookyu Kim, Raj Sakamuri, et al.
We will describe our barrier coat approach for use in immersion 193 nm lithography. These barrier coats may act as either simple barriers providing protection against loss of resist components into water or in the case of one type of these formulations which have a refractive index at 193 nm which is the geometric mean between that of the resist and water provide, also top antireflective properties. Either type of barrier coat can be applied with a simple spinning process compatible with PGMEA based resin employing standard solvents such as alcohols and be removed during the usual resist development process with aqueous 0.26 N TMAH. We will discuss both imaging results with these materials on acrylate type 193 nm resists and also show some fundamental studies we have done to understand the function of the barrier coat and the role of differing spinning solvents and resins. We will show LS (55 nm) and Contact Hole (80 nm) resolved with a 193 nm resist exposed with the interferometric tool at the University of New Mexico (213 nm) with and without the use of a barrier coat.
Resist component leaching in 193-nm immersion lithography
The leaching of ionic PAGs from model resist films into a static water volume is shown to follow first order kinetics. From the saturation concentration and the leaching time constant, the leaching rate at time zero is obtained which is a highly relevant parameter for evaluating lens contamination potential. The levels of leaching seen in the model resists generally exceed both static and rate-based dynamic leaching specifications. The dependence of leaching on anion structure shows that more hydrophobic anions have lower saturation concentration; however, the time constant of leaching increases with anion chain length. Thus in our model system, the initial leaching rates of nonaflate and PFOS anions are identical. Investigation of a water pre-rinse process unexpectedly showed that some PAG can still be leached from the surface although the pre-rinse times greatly exceeded the times required for saturation of the leaching phenomenon, which are expected to correspond to complete depletion of leachable PAG from the surface. A model is proposed to explain this phenomenon through re-organization of the surface as the surface energy changes during the air/water/air contact sequence of the pre-rinse process.
Resist development status for immersion lithography
Hiromitsu Tsuji, Masaaki Yoshida, Keita Ishizuka, et al.
Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to the solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturing stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and structure while the PAG anion elution was dependent on the molecular structure and mobility. In this paper, lithographic performance is also discussed with the low elution type resist.
157-nm/193-nm Materials
icon_mobile_dropdown
Silsesquioxane-based 193 nm bilayer resists: characterization and lithographic evaluation
Hiroshi Ito, Hoa D. Truong, Sean D. Burns, et al.
Polysilsesquioxane-based 193 nm positive bilayer resists are described. In this design Si for etch resistance is placed in every repeat unit and acid-labile protected and acidic groups (and polar units) are in the side chain, allowing to incorporate each lithographically critical functionality in sufficient quantity. Fluoroalcohol is employed as an acid group instead of carboxylic acid because of its more attractive dissolution properties. Polymers were carefully analyzed by 19F, 13C, and 29Si NMR to determine composition and to quantify residual acetyl, silanol, and Q/T. Hydrogen-bonding between tertiary ester and fluoroalcohol in the polysilsesquioxanes was investigated by FT-IR and the effect of lactone incorporation on the thermal deprotection temperature elucidated. In order to better understand the dissolution behavior of exposed resist films, the silsesquioxane resist polymers were partially (ca. 30%) and fully deprotected in solution with acid and their dissolution kinetics investigated by using a quartz crystal microbalance (QCM). It has been found that the exposed areas of the silsesquioxane resists can have a very fast dissolution rate (Rmax) of >20,000 A/sec (or even >100,000 A/sec). Heating the fully deprotected model polymers to 150°C did not reduce the dissolution rate much, suggesting thermal condensation of silanol end groups is insignificant. Model deprotected polymers containing triphenylsulfonium nonaflate were exposed to 254 nm radiation, baked, and subjected to QCM measurements in order to determine whether or not acid-catalyzed silanol condensation would reduce the dissolution rate. A combination of high dose and high temperature bake resulted in significant reduction of the dissolution rate in the silsesquioxane polymer containing a small trifluoroalcohol. However, the dissolution behavior of the polymer bearing a bulky norbornene hexafluoroalcohol was unaffected by exposure and bake. Chemical and development contrast curves were generated by using a temperature gradient plate and bake temperature effects investigated. While a postapply bake temperature variation from 95 to 130°C did not affect the contrast (chemical and development) and sensitivity, a postexposure bake temperature effect was quite small. The process window of the silsesquioxane bilayer resists was comparable to that of a high performance commercial 193 nm single layer resist for both isolated and nested contact hole imaging, Superior performance of our silsesquioxane resist was demonstrated in patterned etch in comparison with a COMA-based bilayer resist.
A new 193nm resist
Toshiaki Fukuhara, Taku Hirayama, Yuji Shibasaki, et al.
A new ArF matrix based on poly(vinylsulfonamide) has been developed. Sulfonamides, whose pKa values are comparable to those of phenols, can be used as acidic functional groups in the design of chemically amplified resist (CAR) and aqueous base developable resist. Various poly(N-alkyl vinylsulfonamide)s were prepared (R=H, CH2CF3, Pr, 1-adanmantyl), and showed high transparency at round 193 nm region. Depending on the substituents, the dissolution rates of films in a 2.38 wt% aqueous tetraethylammonium hydroxide solution were varied from 500 to 0.0035 nm/s. The tetrahydropyranyl (THP) protected poly(vinylsulfonamide) was prepared and the deprotection of THP was easily occurred when the photoresist containing a photoacid generator was exposed to UV light, followed by post-exposure baking.
193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers
Pushkara Rao Varanasi, Ranee W. Kwong, Mahmoud Khojasteh, et al.
The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.
Evaluation of a novel photoacid generator for chemically amplified photoresist with ArF exposure
Toshikage Asakura, Hitoshi Yamato, Tobias Hintermann, et al.
Recently we have developed a novel non-ionic photoacid generator (PAG), 2-[2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoro-1-(nonafluorobutylsulfonyloxyimino)-heptyl]-fluorene (DNHF), which generates a strong acid (perfluorobutanesulfonic acid) by light irradiation and is applicable to chemically amplified ArF photoresist. The studies on quantum yield of the PAG under 193 nm exposure in an ArF model formulation and in a solution comparing with the ones of ionic PAGs, triphenylsulfonium perfluorobutanesulfonate (TPSPB) and Bis(4-tert-butylphenyl)iodonium perfluorobutanesulfonate (BPIPB) revealed that this compound is superior in photo efficiency to the others. PAG leaching into water from the resist during a model immersion process was investigated in detail. No leaching of DNHF was observed under the immersion process while significant amount of TPSBP was eluted. Dissolution rate of the resist prepared under a model condition of ArF immersion exposure was monitored. No clear difference against dry condition was observed.
Development of optically transparent cyclic olefin photoresist binder resins
Larry F. Rhodes, Chun Chang, Cheryl Burns, et al.
Of all candidate 193 nm photoresist binder resins, transition metal catalyzed vinyl addition cyclic olefin (i.e., norbornene) polymers (PCO) hold the promise of high transparency and excellent etch resistance. In order to access lower molecular weight polymers, which are typically used in photoresists, α-olefin chain transfer agents (CTAs) are used in synthesizing vinyl addition poly(norbornenes). For example, HFANB (α,α-bis(trifluoromethyl)bicyclo [2.2.1]hept-5-ene-2-ethanol) homopolymers (p(HFANB)) with molecular weights (Mn) less than 5000 have been synthesized using such chain transfer agents. However, the optical density (OD) at 193 nm of these materials was found to rise as their molecular weights decreased consistent with a polymer end group effect. Extensive NMR and MS analysis of these polymers revealed that olefinic end groups derived from the chain transfer agent were responsible for the deleterious rise in OD. Chemical modification of these end groups by epoxidation, hydrogenation, hydrosilation, etc. lowers the OD of the polymer by removing the olefinic chromophore, however, it does require a second synthetic step. Thus a new class of non-olefinic chain transfer agents has been developed at Promerus that allow for excellent control of vinyl addition cyclic olefin polymer molecular weight and low optical density without the need of a post-polymerization chemical modification. Low molecular weight homopolymers of HFANB have been synthesized using these chain transfer agents that exhibit ODs ≤ 0.07 absorbance units per micron. This molecular weight control technology has been applied to both positive tone and negative tone vinyl addition cyclic olefin binder resins. Lithographic and etch performance of positive tone photoresists based on these binder resins will be presented.
157-nm/193-nm Resist Processing I
icon_mobile_dropdown
In-line chemical shrink process for 70 nm contact hole patterns by the room-temperature electrostatic self-assembly
Electrostatic self-assembly (ESA) is combined with optical lithography to develop a novel process to form 70 nm space patterns to overcome the resolution limit of ArF lithography with numerical aperture (NA) of 0.75. It is proven that patterned photo resist are useful template with specific topography to undergo the subsequent ESA. Weak polyelectrolytes are shown to control the attachment amount by adjusting pH. Puddle-assembly is applied instead of spin- or dip-assembly considering pattern profile and practicality to be used in the real FAB environment. With optimized composition and assembly method, it is successful to form 70 nm spaces patterns by ESA-induced chemical attachment above 45 nm, combined with ArF lithography of 0.75 NA. Since it works at room temperature without extra process unit after exposure and development, it overcomes the disadvantages of the conventional chemical shrink processes such as thickness loss, dependence on pattern and photo resist, and throughput lowering. In addition, in-wafer uniformities are comparable to that of forming 120 nm spaces patterns with only ArF lithography, which proves that the combination of ESA and optical lithography can be a potentially and practically alternative way of forming uniform 70 nm spaces patterns over 200 nm substrates. It also means that now it is time for top-down and bottom-up approaches to meet together to access nano world.
Double exposure for the contact layer of the 65-nm node
Dah-Chung Owe-Yang, S. S. Yu, Harrison Chen, et al.
The critical dimension (CD) of contact holes for the 65-nm application specific integrated circuit (ASIC) is 100 nm according to the 2002 update of the International Technology Roadmap for Semiconductors. The common through-pitch depth of focus (DOF) of such contact holes is very small using the current ArF exposure tool. High-numerical-aperture (NA) ArF exposure tools are not expected to improve the common DOF that scales by the square of the numerical half aperture. High-transmission attenuated phase-shifting masks increase the DOF of isolated contact holes. Off-axis illumination such as annular or quadrupole illumination improves the DOF of dense contact holes. Nonetheless, both the isolated and the dense contact holes need to be printed within spec on logic circuit. To delineate 100-nm contact holes at several different pitches, we proposed the pack-and-unpack (PAU) process which employs double exposures. First, dummy holes are added to the surroundings of isolated contact holes facilitating the patterning of the resultant dense pattern with a resolution enhancement technique that favors dense contact holes. For example, dense holes are packed to 180-nm pitch and imaged with high-NA lens setting and quadrupole illumination. Then, the second image is used to open the desired holes or block the dummy contact holes. The purpose of this study was to develop new methods and new materials for the patterning of the second image. Three approaches were investigated. The first approach was forming an isolation layer to protect the first image; second, applying UV curing to harden the first image; third, using alcohol-based resists to pattern the second image. Among those three approaches of printing the second image, using resist in alcohols is the most convenient method. Even though the CD control of the second image is not so critical, resolution and process window of resists may need further improvement for 45-nm node and below. Using the second approach allows conventional ArF resists, which does not raise as many concerns as the alcohol-based resists. With the first approach, a lot more work is needed to prevent intermixing and reactions between the isolation layer and the resist for the second image. The results of this work point to the directions for material developments of the PAU process. Both the alcohol-based resists and UV curing are good approaches for PAU. Further characterizations such as DOF, exposure latitude (EL), and mask error factor (MEF) on them will be carried out in the near future.
New shrinkage technology for nano-contact hole formation
Geunsu Lee, Jungwoo Park, Wonwook Lee, et al.
More simple and cost-effective shrinkage techniques for contact hole (C/H) are required instead of conventional technologies such as thermal flow, RELACS, SAFIER and CONPEAT with the aggressive reduction in size of devices. We have developed a new method, Coating Assisted Shrinkage of Space (CASS) process. This process simply coats polymer over the patterned wafer. It doesn't need a bake and rinse step for shrinkage. Sub-100 nm C/H patterns were successfully defined after coating CASS material with good profile.
Impregnation of resist with functional molecules using supercritical fluid: a new approach to resist engineering for advanced lithography
This paper describes an innovative approach to lithography processes using a supercritical fluid. The key idea is to improve a resist by exploiting the special properties of supercritical fluid: it is a good solvent with good diffusivity. The results of this study show that various kinds of molecules can be dissolved in supercritical carbon dioxide, and distributed uniformly throughout a resist. For example, the etch rate of ArF resist is reduced when functional molecules with a high etching durability are added to it. The unique feature of this technique is that the resist can be modified after exposure and development without damaging resist patterns. This technique constitutes a revolutionary way of enhancing resists that could have a big impact on resist composition and processes.
A novel contact hole shrink process for the 65-nm-node and beyond
Patterning of sub-100nm contacts for sub-90-nm-node devices is one of the primary challenges of photolithography today. The challenge involves achieving the desired resolution while maintaining manufacturable process windows. Increases in numerical aperture and reductions in target CDs will continue to shrink process windows and increase mask error factor resulting in larger CD variation. Several techniques such as RELACS, SAFIER, and resist reflow have been developed to improve the resolution of darkfield patterns such as contacts and trenches. These techniques are all post-develop processes applied to the patterned resist. Reflow is a fast process with low cost of ownership, but has two major disadvantages of high temperature sensitivity and large proximity bias. SAFIER and RELACS both have much slower throughput and higher cost of ownership than reflow. SAFIER also is sensitive to temperature and has large proximity bias. In this paper, a novel process is described that reduces the diameter of contact holes in resist up to 25nm without proximity effects. This process uniformly swells the resist film resulting in a shrink of patterned holes or trenches. Results are shown for 248nm and 193nm single layer resists, and a 193nm bilayer resist. This process has the potential to be high throughput with low cost of ownership similar to reflow techniques but without the proximity effects and thermal sensitivity observed with reflow.
Contact hole shrink process with novel chemical shrink materials
Takayoshi Abe, Tooru Kimura, Takashi Chiba, et al.
Contact hole shrink process is becoming more important option for 45nm node design rules. In general, lithography of contact hole has been harder than that of line and space application due to the low contrast of incident light. The contact hole size for 45nm node device will be around 60nm and this hole size will be the limit of 193nm lithography. High NA exposure tool for 193nm lithography achieves 60nm contact hole resolution, but both under dose margin and depth of focus will be limited. This fact results in the insufficient process window of 193nm lithography. Thus some supporting process should be necessary and a chemical shrink process is one of the possible approach to resolve 60nm contact hole with appropriate process margin. The general chemical shrink process is as follows. Chemical Shrink Material (CSM) is coated on patterned photoresist, and following bake process controls chemical cross-linking reaction and forming a layer insoluble into the developer. As a result pattern size is reduced to desired CD. However current CSM has several issues: i.e. inferior etching durability of CSM than that of 193nm resist and pattern profile degradation after the process. This will be the critical problem for pattern transfer process using CSM. From this point of view, we developed a novel CSM which has good etching durability compared with 193nm resist and does not have a pattern profile degradation. This material consists of aromatic moiety to satisfy good etching durability. Also, the shrink rate and amount are not pitch dependent.
157-nm/193-nm Resist Processing II
icon_mobile_dropdown
Novel chemical shrinkage material for small contact hole and small space patterning
It is becoming difficult for the lithography progress to keep pace with the acceleration of design rule shrinkage and high integration of memory devices. In order to retain the acceleration, low k1 processes beyond the limitation of wavelength are required. Various resolution enhancement techniques have been suggested for this purpose. Especially, chemical shrinkage process utilizing an additional chemical treatment upon patterned photoresist to make patterns finer has been turned out to be effective. The current chemical shrinkage materials are, however, suffering from small attachment amounts or pattern deformation. In this paper, a novel chemical shrinkage material causing large attachments without pattern deformation is suggested. The material is an aqueous solution of two kinds of polymers and its shrinkage mechanism is based on inter-polymer complex formation and gelation principle. Compositions, shrinkage properties, and application studies to contact hole patterns are presented.
A novel post exposure bake technique to improve CD uniformity over product wafers
Tomoyuki Takeishi, K. Hayasaki, Tsuyoshi Shibata
The impact of wafer warpage on critical dimension (CD) control is getting larger in ArF lithography. The product wafers with stacked films are warped due to the stress caused by the difference in the film stack structure between the top side and the back side of the wafers. A typical warpage of the product wafers is of convex shape, and the amount of the warpage is larger than 50 μm for 200mm wafer. On the other hand, proximity bake method is widely used in the Post Exposure Bake (PEB). When the warped wafer is placed on the hot plate, the gap between the wafer and the hot plate varies across the wafer. That is, the temperature of the wafer center is lower than that of wafer edge. Such a temperature variation affects CD uniformity within wafer. In particular the fact is obvious in ArF chemical amplified resist because PEB sensitivity of ArF resist is larger than 5nm/degree. In this study we optimize PEB zone temperature within wafer to suit the wafer warpage. This method is based on controlling zone temperature of the PEB hot plate with concentrically divided heaters. We carry out that the CD uniformity for the warped wafer is improved by 70% compared with the conventional process.
0.31k1 ArF lithography for 70-nm DRAM
512Mbit DRAM with 70 nm design rule was tailored using 0.31k1 ArF lithography technologies. Of the critical mask layers, four pattern layouts were demonstrated: brick wall, line/space, contact and line/contact patterns. For the sake of cost reduction, the conventional technologies were used. Results has shown that SLR (Single-Layer Resist) process, half-tone PSM and the conventional illuminations had a potential of manufacturing 70 nm DRAM. However, it was found that brick wall patterns had asymmetrical shape and total CD uniformity was out of target raging 9.2 nm through 16.3 nm depending mask layouts. We prospect that higher contrast resist and more elaborate resist process will address these problems sooner or later. In case the immersion lithography is not ready around the right time, the feasibility of 0.29k1 ArF lithography was studied through simulation and test, which represented that 0.29k1 technologies were likely to be applied for the development of 60 nm DRAM with the aid of RETs (Resolution Enhancement Technologies) including customized illumination and new hard mask process.
Advanced rinse process alternatives for reduction of photolithography development cycle defects
Nickolas L. Brakensiek, Peng Zhang, Danielle King, et al.
As linewidths continue to decrease in size, preventing smaller defects is becoming critical to maintaining yield. Defects that are caused during the development cycle and attach themselves to the BARC surface, such as water spots or photoresist residues, have always been a concern and have been usually removed at the expense of throughput. Various options are available to reduce these types of defects but each has disadvantages. One such example is a double puddle develop process. The disadvantage of this process is that the exposure dose may have to be changed. Another example is increasing the rinse time to several minutes with an associated reduction in throughput. This paper will discuss rinse alternatives that have been able to reduce develop type defects by up to 70% while also reducing the wafer-to-wafer variation by up to 80%. This process may have a dramatic increase in throughput by keeping the total rinse time under 20 seconds and may have minimal (less than 2% change) impact on measured linewidth. These rinse processes utilize a quick succession of changing spin speeds and accelerations that are acceptable for 300-mm wafer processing. Surfactant-containing rinse solutions designed to reduce line collapse in 193-nm photoresists were also investigated to determine their effectiveness in reducing post-develop defects in concert with the newly developed water rinse process. The rinse processes that will be discussed will have the flexibility of integrating the surfactant-containing rinse solution while maintaining the shortest possible cycle time. At the same time these processes will reduce defects and pattern collapse.
Pattern collapse and line width roughness reduction by surface conditioner solutions for 248-nm lithography
Peng Zhang, Madhukar B. Rao, Manuel Jaramillo Jr., et al.
In this paper, surface conditioners were applied during the post-develop process to extend the capability of 248nm lithography processing below the k1= 0.30 threshold. The interaction between surface conditioner and photoresist was found to be a critical parameter in affecting pattern collapse, line width roughness (LWR), and process latitude. Tailoring the surface interaction properties required balancing between surface conditioners that had weak interactions that improved pattern collapse only marginally, to surface conditions with strong interactions that produced a considerable reduction in LWR but provided no benefit to pattern collapse or process latitude when compared to DI water. The surface conditioners with optimized resist interactions provided significant improvement in all performance parameters including reduced pattern collapse, improved LWR, and enlarged usable process latitude.
Resist Fundamentals I
icon_mobile_dropdown
A new long range proximity effect in chemically amplified photoresist processes: chemical flare
Anomalous linewidth variations of tens of nanometers have been observed for certain chemically amplified resist processes, a phenomenon we call chemical flare. These variations are highly undesirable, since they fall outside the scope of normal OPC corrective action. Experimental data is presented which clarifies the magnitude and range of chemical flare for two different 193nm resist processes. We observed that very weak background exposure, less than half the dose required to clear the resist, can have profound effects when chemical flare is strong. A TARC coating was found to completely eliminate chemical flare. In the absence of a first principles understanding of this phenomenon, we demonstrate simple screening tests for assessing resist processes.
Lithographic importance of acid diffusion in chemically amplified resists
David Van Steenwinckel, Jeroen H. Lammers, L. H. A. Leunissen, et al.
Since their introduction in the semiconductor industry, chemically amplified resists have proven to offer very valuable benefits to lithography processes, of which improved resist contrast and higher throughput are just two examples. However, the inherent acid diffusion mechanism starts to create some issues. For instance, the reduced chemical contrast due to pronounced acid diffusion during the post-exposure bake will decrease the exposure latitudes and would impact the ultimate resolution. On the other hand, reducing the acid diffusion length will have a negative impact on line edge roughness if one wants to simultaneously keep exposure doses and shot noise effects under control. In this paper, acid diffusion lengths in present-day photoresists at different process conditions are characterized using a lithographic technique. The observed tendencies are correlated with trends in exposure latitude, resolution and the frequency spectrum of line edge roughness. The relationship between acid diffusion length and exposure latitude as well as the relationship between acid diffusion and line edge roughness are addressed in a more fundamental way. The results of this paper highlight the major impact of acid diffusion on important lithographic process characteristics, and the investigated scaling behavior gives guidelines for optimizing exposure latitude and line edge roughness for future technology nodes.
Resist materials for advanced lithography
Theodore H. Fedynyshyn, Roger F. Sinta, Indira Pottebaum, et al.
Increasing the understanding of the fundamental resist material characteristics is a necessary preamble to the development of resists with improved resolution and line edge roughness. Material characteristics will not only influence resist sensitivity and resolution, but also may influence the critical dimension control of the lithography process through its effects on line edge roughness (LER). Polymers with controlled molecular weights and polydispersities as well as several non-polymeric resist materials were prepared and studied. This entailed preparing novel derivatives of these non-polymeric materials that were compatible with photoimaging as positive acid catalyzed resists. Examples are presented where non-polymeric resist materials were isolated into single well-defined components that could be compared to mixtures of similar composition. Results are presented on materials properties such as surface roughness and resist resolution. Included in the results are examples of non-polymeric materials that are capable of sub 100-nm resolution as positive resists.
Interfacial structure of photoresist thin films in developer solutions
A depth profile of the base developer counterion concentration within thin photoresist films was measured in-situ using contrast variant specular neutron reflectivity to characterize the initial swelling stage of the film dissolution. We find a substantial counterion depletion near the substrate and an enrichment near the periphery of the film extending into the solution. These observations challenge our understanding of the charge distribution in photoresist and polyelectrolyte films and are important for understanding film dissolution in medical and technological applications.
Dissolution behavior of resist polymers studied using quartz crystal microbalance method
The quartz crystal microbalance (QCM) method was used to obtain precise information about the dissolution behavior of resist polymers. The time evolutions of the resonance frequency and the impedance during the dissolution were measured using the QCM method. The data were simulated using the transmission line method on a four-layer model. Transmission line analysis showed Sauerbrey’s relation to be invalid during the formation and dissolution of the gel layer, although Sauerbrey’s relation was valid during the other development time with the constant thickness of the gel layer. The application of Sauerbrey’s relation in those transition areas brought out artificial changes of the dissolution or swelling rates. The rigidity of the gel layer was also analyzed from 102 to 107 Pa using the transmission line method. The resonance frequency changed drastically at less than 105 Pa during the formation and dissolution of the gel layer. The application of Sauerbrey’s relation in those transitional areas resulted in artificial changes of the dissolution rate from 5 nm/s to 92 nm/s and a shortening of the induction period from 5 s to 2.5 s. Transmittance analysis has shown the validity of quantitative analysis in QCM experiments.
Studies of acid diffusion in low Ea chemically amplified photoresists
G. M. Wallraff, D. R. Medeiros, C. E. Larson, et al.
Critical lithographic dimensions will soon place particularly severe demands on the performance of chemically amplified (CA) resists. Although Extreme Ultraviolet (EUV) and 193 nm (immersion interferometric) lithographic results have demonstrated half pitch imaging down to 35 nm there is nonetheless a concern that image blur due to acid diffusion will begin to seriously impact the utility of CA photoresists. Previously we demonstrated that low activation energy resists and E-Beam lithography can be used to print line/space arrays with resolution approaching 20 nm. We described the factors impacting the reactivity of ketal/pHOST based resists and compared the attainable resolution under different processing conditions. In this report we describe studies on acid diffusion emphasizing the role of water in low Ea systems. We also discuss methods for the control of water absorption in low Ea resists.
Resist Fundamentals II
icon_mobile_dropdown
The effect of film thickness on the dissolution rate and hydrogen bonding behavior of photoresist polymer thin films
Previously we have reported on the film-thickness-dependent nature of the dissolution rate of a series of model photoresist polymer resins including: novolac, poly(hydroxystyrene) (PHOST), and bis-trifluoromethyl carbinol substituted polynorbornene (i.e. HFAPNB or hexafluoroalcohol substituted polynorbornene). It was found that the dissolution rate of novolac films displayed very little dependence on the initial polymer film thickness, while the dissolution rates of both PHOST and HFAPNB films displayed a strong dependence on the initial polymer film thicknesses below a critical initial film thickness. This paper presents the results of additional experimental work that was directed at determining the underlying physical cause for the observed variation in dissolution rates with initial film thickness. Fourier transform infrared spectroscopy studies have revealed that the extent of hydrogen bonding in both PHOST and HFAPNB films exhibits a strong dependence on initial film thickness below a critical film thickness. This critical film thickness below which changes in the extent of hydrogen bonding in the film are observed correlates well with the critical film thicknesses below which changes in the film dissolution behavior are observed. In the case of novolac, no substantial dependence in the extent of hydrogen bonding in the films as a function of film thickness is observed. This new experimental evidence suggests that it is the changes in the extent of hydrogen bonding which occur as a function of the initial polymer film thickness which are responsible for the observed dissolution behavior in these materials. Further work is in progress to understand the underlying causes for these differences in the hydrogen bonding behavior of the polymer films.
Molecular resists based on polyhedral oligomeric silsesquioxanes (POSS)
Polyhedral Oligomeric Silsesquioxane (POSS) derivatives have been investigated as potential candidates for high resolution resists. POSS materials are cage compounds with defined mono-disperse molecular weights. These materials are attractive candidates for molecular resist development because of their commercial availability and the ease with which they can be derivatized. These resists are more suited for bilayer resist applications because of their high silicon content. We have developed positive bilayer resists suitable for 193-nm and other emerging lithographic applications.
Understanding quencher mechanisms by considering photoacid-dissociation equilibrium in chemically amplified resists
Seiji Nagahara, Lei Yuan, Wojtek Jacob Poppe, et al.
The quencher mechanisms in Chemically-Amplified (CA) resists have been investigated. To explain the acid distribution with a variety of acid strengths in the presence of quencher, a new full Acid-Equilibrium-Quencher model (AEQ model) is proposed and examined in solid-model-CA-resist systems. To observe the reactions in the CA resists, real-time Fourier-Transform-Infrared Spectroscopy (FTIR) is employed during post-exposure bake (PEB). The FTIR peaks of the protection groups are detected to measure the reaction kinetics during PEB. The solid-model-CA resists used in this work consist of both a KrF-acetal-type resist with a diazomethane Photo-Acid Generator (PAG) (weaker-photoacid system) and an ArF-ester-type resist with a sulfonium-salt PAG (stronger-photoacid system). The obtained FTIR results are analyzed using conventional Full-Dissociation-Quencher model (FDQ model) and the new AEQ model. The kinetic analysis of the model resists was performed for different quencher loadings. For the weaker-photoacid system, the AEQ model much more accurately predicts the deprotection-reaction kinetics than the FDQ model with the change of quencher content. This suggests the necessity of introduction of the acid-dissociation concept in the case of the weaker photoacid. For the stronger-photoacid system, both the AEQ and conventional FDQ models adequately predict the kinetic results. This shows that the conventional FDQ model is accurate enough to simulate the super-strong photoacid system. Finally, the new AEQ model is introduced in the UC Berkeley STORM resist simulator. Some simulation examples are shown in the paper.
Using mesoscale simulation to explore photoresist line edge roughness
Computer simulators are ideal tools to study complex process spaces, but current lithography simulators are based on empirically-derived continuum approximations and thus are unsuited for investigating properties like line edge roughness (LER) because they do not incorporate molecular level details. A "mesoscale" simulation is described that enables molecular level effects to be captured. This technique is a compromise between accurate, but slow, atomic-level simulations and the less accurate, but fast, continuum models. The modeling of stochastic processes that lead to LER is enabled via use of Monte Carlo techniques. Mesoscale simulation was used to study the effects of added base quencher to overall photoresist performance. Simulations of acid/base kinetics with quencher loadings ranging from 0 to 20% show good qualitative agreement with experimental data. Results show that decreasing aerial image quality increases the root-mean-square (RMS) roughness, whereas increasing base quencher loading improves LER, up to approximately 50% base. A mechanism that explains line edge roughness stemming from acid gradients is proposed. This mechanism is supported by simulations showing that the catalytic chain length varies inversely with acid concentration. Simulation results show that base effectively limits the influence of acid in low concentration regions. A critical drawback of using base additives is significantly reduced photospeed.
Basic aspects of acid generation processes in chemically amplified resists for electron beam lithography
Acid generation processes of chemically amplified resists for electron beam (EB) lithography are different from those of chemically amplified photoresists. In chemically amplified EB resists, the role of acid generators is to produce not protons but counter anions of acids through the reactions with low energy electrons generated at ionization events. As the distribution of counter anions determines latent acid image, the dynamics of low energy electrons is important in EB lithography. In this paper, we made clear the effects of dielectric constants of base polymers, the initial separation distances between radical cations and electrons, and the reaction radii of acid generators on the sensitivity and resolution of chemically amplified resists.
Line-Edge Roughness
icon_mobile_dropdown
The effects of chemical gradients and photoresist composition on lithographically generated line edge roughness
Previous work has demonstrated the dependence of photoresist line edge roughness (LER) on the image-log-slope of the aerial image over a wide range of conditions; however, this relationship does not describe the influence of other factors such as photoresist composition or processing conditions on LER. This work introduces the concept of chemical gradients in the photoresist film rather than gradients in aerial image intensity as being a governing factor in the formation of photoresist LER. This concept is used to explain how differences in acid and base concentration in the photoresist lead directly to differences in observed LER. Numerous photoresist formulations were made over a wide range of compositions using 193 nanometer photoresist polymers as the basis. Experimental results coupled with results from simulation show that increasing the gradient of photoacid and hence increasing the gradient of protected polymer and the overall chemical contrast of the system reduces printed LER.
Line edge roughness reduction by plasma curing photoresists
Photoresist line edge roughness (LER) has been highlighted to have an adverse impact on device performance whereas post-etch LER is probably the more relevant metric. Post-etch LER can be reduced by migrating to thicker photoresist films or developing etch processes that are accompanied with lower energy ion bombardment. However, the photoresist and etching processes chosen might have desirable attributes and therefore cannot be changed, e.g. large process window or minimal nested-isolated feature etch bias. In this paper, we demonstrate the reduction of LER at the polysilicon gate level by an inexpensive treatment prior to etch. This HBr plasma treatment can be performed in the main etch chamber with minimal impact on wafer throughput. As a result, during the following etch steps, the photoresist mask is more homogeneous from an etch perspective which in turn helps lower the final LER. In addition, results from blanket etch studies on the various photoresist component films are shown. FTIR spectra of unetched and etched films are compared to demonstrate the preferential etching of certain photoresist/polymer components. The large differences observed in the unetched and etched film surface roughness values for certain photoresist components is postulated as an important source of final LER.
Origin of LER and its solution
We have studied several factors having an effect on LER in terms of resist chemistry, resist process, CD-SEM metrology, numerical aperture and sigma settings of the exposure tool, and the mask pattern. LER is extracted from the developed resist profile. In ArF lithography process, development and rinse process is very critical because ArF resist is relatively hydrophobic compared to KrF resist. It causes heterogeneous interaction at interface of resist and aqueous solution (developer or deionized water). We improved roughness at contact hole pattern by the introduction of wetting process prior to development. Clear and homogeneous rinsing is also needed to remove scum and swelled resist generated at development step. On the other hand, the roughness of mask pattern is one of the important factors of LER on wafer. We confirmed that this global dislocation is a potent influence but local edge roughness of mask is insignificant to wafer LER. This dislocation of pattern is originated from the lack of shot accuracy in E-beam writer using variable shaped beam.
Effect of post development process for resist roughness
Koutarou Sho, Tsuyoshi Shibata, Eishi Shiobara, et al.
Recently, gate length variation such as Line Width Roughness (LWR) is severe problem in MPU. The LWR of resist pattern is mainly due to resist material and optical contrast. However it is hard to improve these factors. Many techniques have reported to decrease LWR, but there were no reports which process was more effective for improvement on LWR. Some methods were considered to improve resist roughness. This paper discusses about LWR of ArF resist in gate layer of 65 nm node device. We tied post bake process after development to smooth resist pattern surface by its surface tension. Recess process of resist roughness by using a pattern shrink film was also investigated. LWR’s were 36% and 26% decreased by post baking process and recess process, respectively. Post bake temperature was near resist melting point. From the consideration of thermal flow process, distance of smoothing force by surface tension is considered about several hundreds nm. Pattern shrink film is using acid catalysis reaction, so its distance of smoothing by acid diffusion is considered about one hundred nm. It is considered that effect of post development process is caused by distance of smoothing force. Moreover influence of those processes for lithographic performance will be evaluated.
Electron beam direct write process development for sub 45nm CMOS manufacturing
Electron Beam Direct Write (EBDW) lithography represents a low cost and a rapid way to start basic studies for advance devices and process developments. Patterning for sub-45nm node technology requires the development of high performance processes. Different alternatives for the improvement of EBDW lithography are investigated in this paper for the ASIC manufacturing on 300mm wafer size. Among them, process development has been tuned for clear field equivalent level to improve both line width roughness by monitoring post applied bake conditions, and both process window by specific design correction. Concerning dark field level, process resolution has been improved by a shrinkage technique.
ARC/EUV
icon_mobile_dropdown
193nm dual layer organic BARCs for high NA immersion lithography
Extending the resolution capability of 193nm lithography through the implementation of immersion has created new challenges for ArF B.A.R.C.s. The biggest of which will be controlling reflectivity over a wider range of incident angles of the incoming imaging rays. An optimum B.A.R.C. thickness will depend on the angle of incidence of the light in the B.A.R.C. and will increase as the angle increases. At high angles different polarization have different optimum thicknesses. These confounding effects will make it increasingly difficult to control reflectivity over a range of angles through interference effects within a single homogenous B.A.R.C. Unlike single layer B.A.R.C.s, multilayer B.A.R.C.s are capable of suppressing reflectivity through a wide range of incident angles. In fact, remarkable improvements in antireflective properties can be achieved with respect to CD control and through angle performance with the simplest form of a multilayer B.A.R.C., a dual layer. Here we discuss the attributes of an all organic dual layer B.A.R.C. through simulations and preliminary experiments. One attribute of an organic over inorganic B.A.R.C. in high-NA lithography is its ability to planarize topography. ArF scanners designed to meet the needs of the 45nm node will have a very small depth-of-focus (DOF) which will require planar surfaces.
Hybrid BARC approaches for FEOL and BEOL intergration
Spin on bottom anti-reflective coatings were introduced to the semiconductor industry about 20 years ago to help control substrate reflectivity, improve critical dimension (CD) control, and, most importantly, improve depth of focus window, thus improving throughput and yields. Bottom anti-reflective coating (BARC) materials are either inorganic or organic in nature. Inorganic BARCs are chemical vapor deposition (CVD) films that work on the principal of destructive interference to eliminate reflectivity and demand tight thickness control in the BARC layer. In contrast, organic BARCs are generally spin-on polymeric materials that reduce substrate reflectivity by absorbing exposure radiation to provide greater latitude in thickness control. As an added benefit, organic spin-on BARCs also provide a level of planarization efficiency prior to photoresist deposition to improve depth of focus and process window in the photolithography step. As the feature sizes continue to shrink, etching becomes very challenging due to thin ArF photoresist (PR) layers which are much less etch resistant compared to KrF photoresists. The reduced thickness, as well as the reduced etch resistance, of the PR makes it nearly impossible to use the PR as both an imaging and a pattern transfer layer. This has lead to the development of a new class of spin-on “hybrid” BARC materials which not only have improved etch selectivity to the PR due to inorganic functionality but also have the absorbing properties, and hence offer greater process latitude. Hybrid BARC (H-BARC) materials enable the BARC layer to act as both an anti-reflective coating and as a pattern transfer layer in standard etch-back integration schemes. Due to the polymeric functionality associated with H-BARCs, these materials have exceptional gap-fill and planarization properties and can also be used in via-first dual damascene applications where similar etch characteristics between interlayer dielectric materials and the via-fill BARC enable better CD control. This paper will focus on the benefits of ENSEMBLE ARC materials, a new class of spin-on hybrid BARC materials, which can be used in either standard BARC applications or in via-first dual damascene applications which require that the BARC act both as an anti-reflective coating and as a via-fill material to assist in CD control during trench etch processes. This paper demonstrates lithography with 193-nm resists, resist compatibility, via-fill performance, optical properties, and etch rates with different plasma recipes.
Organosiloxane based bottom antireflective coating for 193nm lithography
Bo Li, Kim Do, Jason Stuck, et al.
A spin-on sacrificial 193 nm UV absorbing organosiloxane film was developed to facilitate ArF photoresist (PR) patterning. To improve lithographic compatibility with acrylate based photoresists, different performance additives were evaluated as photoresist adhesion promoter. The results suggested that the type and loading of the photoresist adhesion promoter had a large impact on the profile and focus latitude of the patterned photoresist features. An efficient photoresist adhesion promoter candidate was identified, which has minimum impact on other solution and film properties. This work has led to the development of DUO 193 organosiloxane based bottom anti-reflective coating. Application of this film as a blanket level bottom anti-reflective coating or as a fill material for via first trench last (VFTL) dual damascene patterning is possible. The SiO structure intrinsic to this film provides a high degree of plasma etch selectivity to the thin ArF photoresists in use today. Furthermore, an equivalent plasma etch rate between DUO 193 and the low dielectric constant SiOCH films used as the dielectric layer in the backend Cu interconnect structure is possible without compromising the photoresist etch selectivity. Equivalent etch rate is necessary for complete elimination of the “fencing” or “shell” defects found at the base of the etched trench feature located at the perimeter of the top of the via. Advanced ArF PR features of 100 nm in width (and smaller) have been routinely patterned on DUO 193 film. Via fill, plasma etch rate, wet etch rate, ArF PR patterning and shelf life data will be discussed in this presentation.
EUV resist patterning performance from the Intel microexposure tool (MET)
The patterning targets for EUV resists at the 32 nm node are stringent, and will require significant resist development in order to meet these targets. In this paper, we benchmark the patterning performance of current EUV resists against Intel targets. Resolution data for dense L/S structures, isolated lines, and contact hole structures show that current resists are close to meeting Intel requirements for the 32 nm node, though further optimization is needed. A trade-off is seen between LWR and sensitivity (6.0 nm LWR and 6.8 mJ/cm2 for resist A versus 11.1 nm LWR and 2.7 mJ/cm2 for resist C). However even at the higher dose, the LWR target is far from Intel’s spe. At best dose and focus sidewall angles of 85° were measured, decreasing by as much as 10° - 12° as the resist moved out of focus by 400 nm.
Material design and evaluation of nanocomposite resist for next generation lithography
A chemically amplified resist, Poly(4-hydroxystyrene-co-tertiarybutylmethacrylate-co-MethacrylphenylPOSS) with different Polyhedral oligosilsesquioxane (POSS) loading has been synthesized by free radical polymerization. The incorporation of POSS units into the resist matrix has been found to affect their RIE resistance in O2 plasma. The thickness of the films were monitored using ellipsometry at various etch intervals to determine the etch rate and selectivity. It was observed that etch rate of these nanocomposite resists were comparable to the standard PHOST and Novolac based resists. HRTEM and HAADF studies showed that the POSS units exhibit a morphology of rectangular crystallites that are responsible for the plasma etch behavior. We have obtained 120 nm (1:1) (Line/Space) feature using 248 nm lithography. The protecting group, tertiary butyl protecting group exhibits acceptable outgassing. Using e-beam lithography, 70nm pattern feature was obtained.
Novel Processing
icon_mobile_dropdown
Area selective atomic layer deposition: use of lithographically defined polymer masking layers for the deposition of titanium dioxide
Ashwini Sinha, Dennis W. Hess, Clifford L. Henderson
Atomic layer deposition (ALD) is a promising method for depositing high quality, conformal, ultra-thin films. The ability to perform area selective ALD would provide a number of benefits including a reduction in the cost and number of process steps required for patternwise deposition of materials, elimination of possible substrate and device damage induced by plasma etching of thin films, and ability to direct pattern materials that are difficult to etch. Previous attempts have been made to develop area selective ALD processes based on the use of self-assembled monolayers (SAMs). In these schemes, the SAM layers were used to selectively passivate specific regions of a substrate surface and thus prevent ALD. However, the process times required for SAM deposition and problems with SAM defectivity have limited the practical application of such methods. This paper presents a new approach to area selective atomic layer deposition techniques (ASALDT) based on the use of lithographically definable polymeric masking layers that allow deposition only in selected areas of a substrate. It is shown that there are a number of factors that must be considered in designing such patternable polymeric masking materials and processes including: reactivity of the polymer with the ALD precursor species, diffusion of ALD precursors through the polymer mask, and remnant precursor content in the masking film during ALD cycling. Finally, successful direct patterned deposition of TiO2 is demonstrated using a poly(methyl methacrylate) masking layer that has been patterned using deep-UV lithography.
Novel Materials/Applications
icon_mobile_dropdown
Novel negative tone photodefinable low dielectric constant hybrid films
Thomas J. Markley, Scott J. Weigel, Chris P. Kretz
Multifunctional films have the potential to reduce the number of processing steps to prepare various complex electronic devices and thereby reduce the cost of manufacturing the device and increase the throughput of the process. By combining low dielectric thin film and photoresist technologies into one material, such an advantage could be provided to electronics device markets. Air Products and Chemicals has discovered negative tone photodefinable films having dielectric constant values less than 3.0 that are developable in water and/or aqueous TMAH solutions. The low dielectric films produced via a novel reaction pathway involving the use of photoacid generators (PAGs) provides a versatile link to various feature sizes depending on the choice of radiation source and PAG used. Specific examples of film properties and processing latitude will be presented for these developmental materials.
Novel Processing
icon_mobile_dropdown
32nm node technology development using interference immersion lithography
Harry Sewell, Diane McCafferty, Louis Markoya, et al.
The 38nm and 32nm lithography nodes are the next major targets for optical lithography on the Semiconductor Industry Roadmap. The recently developed water-based immersion lithography using ArF illumination will be able to provide an optical solution for lithography at the 45nm node, but it will not be able to achieve the 38nm or the 32nm nodes as currently defined. To achieve these next lithographic nodes will require new, very high refractive index fluids to replace the water used in current immersion systems. This paper describes tests and experiments using an interference immersion lithography test jig to develop key technology for the 32nm node. Interference imaging printers have been available for years, and with the advent of Immersion Lithography, they have a new use. Interference immersion image printing offers users a rapid, cost-effective way to develop immersion lithography, particularly at extremely high resolutions. Although it can never replace classical lens-based lithography systems for semiconductor device production, it does offer a way to develop resist and fluid technology at a relatively low cost. Its simple image-forming format offers easy access to the basic physics of advanced imaging. Issues such as: Polarization of the image forming light rays; Fluid/resist interaction during exposure; Topcoat film performance; and the Line Edge Roughness (LER) of resists at extremely high resolutions can all be readily studied. Experiments are described and results are provided for work on: 32nm imaging tests; high refractive index fluid testing using 193nm wavelength at resolutions well beyond current lens-based system capabilities; and polarization configuration testing on 45nm, 38nm, and 32nm L/S features. Results on the performance of resists and topcoats are reported for 32nm L/S features.
All i-line lift-off T-gate process and materials
Medhat A. Toukhy, Ping-Hung Lu, Salem K. Mullen
An all i-line 0.22 um T-gate process is demonstrated. A resist structure suitable for metal deposition and lift-off is constructed sequentially with two different resist materials. The lithographic process is described in details in this paper.
Immersion Lithography Materials Challenges
icon_mobile_dropdown
Evaluation of functional properties of imaging materials for water immersion lithography
The introduction of an immersion fluid in contact with the resist-coated substrate is, from the perspective of the resist material, a significant departure from the conventional lithographic process. The impact of this modification on the lithographic imaging materials is as yet only poorly characterized. We report the results of studies aimed at an improved understanding of how immersion in water during exposure influences the functional properties of films of lithographic materials. We have evaluated the water permeability of candidate immersion topcoat materials; the role of immersion topcoat materials in reducing airborne contamination and water-resist interactions; the impact of water immersion on image blur in chemically amplified resists; and high-resolution imaging of candidate immersion resist materials under conditions of poor aerial image contrast. Analytical techniques such as QCM and reflectance analysis of thin films, DUV interferometric immersion lithography, and trace organic analysis are applied in this work.
Top antireflective coating process for immersion lithography
To accomplish minimizing feature size to sub 60nm, new light sources for photolithography are emerging, such as F2(157nm), and EUV(13nm). However, these new lithographic technologies have many problems to be solved for real device production. In case of F2 lithography, pellicle issue makes it difficult to use of F2 source in mass production. In case of EUV, light source and mask fabrication issues must be solved for real device application. For these reasons, instead of new light sources, extension of dry ArF lithography has been studied for sub 70nm device production by using Resolution Enhancement Technology (RET) such as using high NA tools, off axis illumination, and phase shift mask. Recently, a new technology called ArF immersion lithography is emerging as a next generation lithography. The first problem of this technology is contamination issues that come from the dissolution of contaminants from the photoresist to the immersion liquid. The second is optical problem that comes from the using hyper NA system. To solve these two problems, we have developed top antireflective coating (TARC) material. This TARC material can be coated on resist without damage to the resist property. In addition to, this TARC material is easily developable by conventional 2.38 wt% TMAH solution. The reflective index of this TARC is adjusted to 1.55, so it can act as an antireflective material. To this TARC material for immersion, quencher gradient resist process (QGRP) was applied also. As a result, we could improve resolution and process margin. However, some of resists showed defects that were generated by this TARC material and QGRP. To solve this defect problem, we introduced buffer function to the TARC material. Thanks to this buffer function, we could minimize defects of resist pattern in immersion lithography.
Anti-bubble topcoat for immersion lithography
Laurent Marinier, Yuri Aksenov, Rob Morton, et al.
Assessment for introduction of immersion lithography into volume manufacturing has recently started, where one of the key focus areas includes defectivity. Particularly, the possible presence of bubbles in the immersion liquid could act as a defect source. The impact of bubbles strongly depends on their size and distance from the resist. This paper shows that a thick topcoat acts as a pellicle and suppresses the printability of the bubbles. A 1.5 μm thick topcoat has been developed especially for this purpose. A model experiment has been set to validate this approach and leads to a conclusion on the printability of defects depending on their size and distance from the resist. Both simulation and results from the model experiment are shown. In addition, a new method to detect very small bubbles will be introduced.
Amplification of the index of refraction of aqueous immersion fluids by ionic surfactants
Kwangjoo Lee, Joy Kunjappu, Steffen Jockusch, et al.
In order to find new immersion liquids to improve the resolution of 193 nm immersion photolithography, we have attempted to discover aqueous system possessing an index of refraction greater than that of water using aqueous surfactant systems. The index of refraction (RI) of both cationic and anionic surfactant systems were examined in the presence of wide range of inorganic salts, and parameters such as size of surfactants, concentrations, and temperature were varied. The refractive index (RI) was found to be increased in the presence of both anionic and cationic surfactants compared to those of water and also increased as a function of surfactant concentration. However the refractive index tends to increase much more strongly as a function of salt concentration. In our study, a maximum RI enhancement was observed from 6.5 M CdCl2 in 8.2 mM aqueous SDS solution. The effect of micellar properties such as the critical micelle concentration (cmc) and degree of ionization were systematically studied for aqueous SDS system in the presence of CdCl2. The correlation on index of refraction between empirical data and theoretical prediction were performed using the concept of molar refraction. Wavelength dependence of RI from theoretical prediction based on empirical equation was examined for various concentration of CdCl2 system and the results are reported in the paper.
Poster Session/157-nm Materials
icon_mobile_dropdown
A new monocyclic fluoropolymer for 157-nm and 193-nm photoresists
Takashi Sasaki, Yoko Takebe, Osamu Yokokoji, et al.
We earlier developed new monocyclic fluoropolymers (ASF-2) for F2 resist materials. But, it is necessary for ASF-2 to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF and F2 lithography at fine design rules. In this study, to improve the dry-etching resistance keeping good characteristics of ASF-2, we examined using two methods. The one is to co-polymerize with ASF-2; the other is to introduce protective groups. We synthesized a new series of fluorinated co-polymers (ASF-2 with various monomers, e.g., methacrylate derivatives and vinyl ester derivatives). We found that the dry-etching resistance was improved by co-polymerization. Especially, the co-polymer with methacrylates containing an adamantyl moiety had a good dry-etching resistance, 1.45 vs. conventional KrF resist. This co-polymer also kept a good transparency at 193 nm. The introduction of various protective groups to the hydroxyl group of ASF-2 was also investigated. As the result of the optimization of protective groups and a protecting ratio, the partially protected ASF-2 with CCOM protecting groups had a good transparency at 157 nm and a good etching resistance (1.42 vs. conventional KrF resist). Using partially CCOM protected ASF-2 with an appropriate protecting ratio, sub-60 nm line and space pattern in 150 nm-thick film was obtained.
Synthesis of fluorinated materials for 193-nm immersion lithography and 157-nm lithography
Various fluorinated polymers were synthesized for application in 193-nm immersion lithography with the goal of improving 157-nm photoresist performance. Their fundamental properties were characterized, such as transparency at 193-nm and 157-nm (wavelength) and solubility in water and a standard alkaline developer. High transparency, i.e., absorbance better than 0.3 μm-1 at 193-nm wavelength, was achieved. The dissolution behaviors of them were studied by using the Quartz Crystal Microbalance (QCM) method. We find that the dissolution rate of Poly(norbornene-2-fluoro-2-hexafluoroalchol) (PNB1FVIP) in 0.065N tetramethylammonium hydroxide (TMAH) was >200 times (nm/s) faster than that of the copolymer of tetrafluoroethylene (TFE) and norbornene-2-fluoro-2-hexafluoroalchol (TFE/NB1FVIP). A resist based on TFE/NB1FVIP was able to delineate 75 nm dense lines by exposure at 193-nm (wavelength) with an alternating phase shift mask using a 0.75 NA ArF scanner. The dissolution rates of the fluoropolymers in water and a 0.262N and 0.065 TMAH can be controlled by optimizing counter monomers containing hexafluoroisopropanol (HFA) unit, carboxylic acid unit and so on. In addition, we have collect water contact angle data. This data shows that fluoropolymers can be used as resist cover materials for 193-nm immersion lithography.
Study of 157 nm resists with full field exposure tools
Yayi Wei, Nickolay Stepanenko, Michael Sebald, et al.
A detailed account will be given of work done on the Micrascan VII (NA 0.75) at INVENT in Albany with AZ EXP X20 and AZ EXP X25 resist systems based upon BOCME protected fluoroalcohol resins. These resins were examined either with a high or low level of formulated photoacid generator (PAG). Our evaluations done both with binary and alternating phase shift mask exposures. It was found in our initial studies done at relatively high amine levels (1-2 ppb) that AZ EXP X25 X with low PAG gave the best performance.
193-nm Materials
icon_mobile_dropdown
Tailoring thermal property of ArF resists resins through monomer structure modification for sub-70-nm contact hole application by reflow process
Ichiki Takemoto, Youngjoon Lee, Yusuke Fuji, et al.
Numerous resolution enhancement techniques have been introduced over the past few years as the design rule decreases rapidly. Among them are thermal reflow, SAFIER and RELACS just to name a few. Resist reflow is one of the simplest processes with a minimum process modification that only requires an additional baking step at or above its glass transition temperature after the contact holes have been developed. Since most of the methacrylic-based ArF resins have Tgs in vicinity of their thermal decomposition temperature, it is not desirable to expose the resins near Tg for a prolonged time. An approach to construct a resin that is physically or thermally viable, yet chemically stable is necessary and the easiest way of achieving this goal is to bring down Tg of the resin significantly so that there would be enough working space between thermal decomposition and glass transition. Out of several conceivable ways to lower the Tgs such as employing acrylic polymers, COMA type polymers etc., we have chosen to maintain the methacrylic platform because of its superior resolution capability. Our design strategy is to work on the pendent groups of methacrylic monomers to make polymer matrix more flexible. Thus, the incorporation of a more flexible unit, such as 2-methyl-2-adamantyloxycarbonylmethyl methacrylate, in our existing copolymer system reduced Tg almost by 30°C. In addition to its thermal property tuning ability, the resist sensitivity also has increased, presumably due to the out-stretched position of an acid labile protecting group for easy access of incoming acid molecules. Our newly developed resists based on the design concept showed a good C/H pattern profile and improved LER by reflow process at sub-70 nm node. We will discuss our newly designed materials in this paper in terms of material properties, resist characteristics and lithographic performances in relation to reflow processes.
Cycloolefin copolymer containing hindered hydroxyl group for 193nm photoresist
The basic requirements for polymer design rule in photoresist are as following. The performances of the photoresist relate to transmittance, adhesion on BARC material, dry etch resistance and process margin as a function of the exposure tool. However, it is very difficult for us to find the polymer that has good performance for 193 nm ArF photoresist, because it has many limitations as target feature size of photoresist become smaller. One of the most important properties in it is adhesion. Researchers usually introduce functional group, as an adhesion promoter, such as carboxylic acid group, hydroxyl group and lactone group at the side chain of the polymer. Carboxylic acid group represents the highest adhesive property, but it has poor dark erosion because of affinity with developer, 2.38 wt% TMAH solution. Lactone group has a limit for introduction as a functional group because it can cause low dry etch resistance and pattern slope. On the other hand, in case of primary alcohol, the hydroxyl group occurs cross-link with carbonyl unit of a neighboring unit. We have recently synthesized cycloolefin copolymer, which has a secondary hindered alcohol in its side chain. And they showed good performances in adhesion, resolution, PED stability, processing window, dry etch resistance, and good pattern profile in both L/S and C/H pattern profile. In this paper we will discuss the properties and the evaluation results.
Nanomolecular resists with adamantane core for 193-nm lithography
Jin-Baek Kim, Tae-Hwan Oh, Kyoungmi Kim
To satisfy the upcoming demand of next generation lithography, new chemically amplified resist materials should be developed that can perform at the limit where the image feature size is on the order of molecular dimensions. Amorphous low-molecular-weight materials have several advantages over conventional polymeric systems. First, the limit of resolution can be enhanced since the building block of the image feature shrinks to the small molecule. Second, nanomolecular materials do not have chain entanglement due to the short chain length. Third, resist molecules that are free of intermolecular chain entanglement may decrease line edge roughness at very small feature sizes. Fourth, they can be coated on the silicon substrate by spin coating method because of their amorphous properties. Herein we studied several nanomolecular resists for 193-nm lithography. Adamantane was used as a core and two cholate derivatives were attached to adamantane.
ARC/Bilayer
icon_mobile_dropdown
Comparison of single-, bi-, and tri-layer resist process
Isao Nishimura, Hiroyuki Ishii, Norihiko Sugie, et al.
A comparison study of single-, bi-, and tri-layer resist (SLR, BLR, and TLR, respectively) process was investigated. The goal of this study is to clarify the advantage of each process for the pattern transfer etching process. Conventional ArF photoresist and bottom anti-reflective coating process were applied to SLR. Novel silsesquioxane (SSQ) resist and spin-on organic hard mask (SOHM) were used for BLR process. The SSQ consists of siloxane backbone which contains three components, protective group, solubility control group, and higher silicon containing group to increase etch selectivity to SOHM. The main polymer in SOHM contains naphthalene type unit, for both anti-reflective and etch-durable properties. SOHM layer is highly cross-linked film with more than 85wt% carbon content which contributes to higher etch selectivity. A conventional ArF photoresist as an imaging layer, spin-on glass (SOG) as an intermediate layer, and the SOHM as a bottom layer were applied to TLR process. Multi-layer materials of each process were spin-coated on the stacks of cap-oxide/low-k/SiC on Si substrate and exposed with ArF 0.75NA scanner for 100nm line and space imaging. SLR showed better lithographic performance than BLR and TLR. However after pattern transfer etching process into SiOC layer, the different performance among each process has been observed. SLR process after pattern transfer etching showed severe surface roughness, striation and line width roughness (LWR). On the other hand, BLR and TLR showed significant improvement of pattern transfer performance. Multi-layer process can improve LWR during etching process.
Bottom anti-reflective coatings for 193-nm bilayer system
Takahiro Sakaguchi, Tomoyuki Enomoto, Yasuyuki Nakajima
The suitable high performances Organic Bottom Anti-Reflective Coatings (Organic BARCs) for 193nm Bilayer system, NCA900 series, were developed. Using CF4 gas as etchant, the etching rate of NCA900 series were 0.87 times slower than that of conventional 193nm photoresists. With NCA900 series, the reflectivity was less than 1% at over 300nm BARC thickness on polysilicon, silicon oxide and silicon nitride. Using conventional 193nm photoresist, 80nm L/S (1:1) patterns with 0.5-micron DOF were observed on NCA900 series. NCA900 series showed the excellent litho performance and coating property. This paper presents the development of BARCs for 193nm Bilayer system.
A new method to characterize conformality of BARC coatings
Runhui Huang, Heping Wang, Anwei Qin
In the semiconductor manufacturing industry, a bottom anti-reflective coating (BARC) is used to minimize thin film interference effects by reducing reflected light. As substrate topography becomes more complex with efforts to design more complex circuits, the effect of reflected radiation becomes more critical. The degree of conformality of the BARC coating plays an important role in lithography performance, which in turn affects the design of plasma etching processes. In this study, we propose a new method to measure the BARC coating conformality. The relationship between film thickness and horizontal distance from the step can be described by an exponential function. We found this profile is related to the properties of the coating material, such as molecular weight, the composition of formulation, the polymer structure, Tg of the polymer, thermal flow capability, and the crosslinking reaction, but is independent of step height, step width, and BARC thickness. The pitch affects the shape of the coating profile only when the spacing of features is smaler than a threshold that is related to coating material properties. The curvature of the profile indicates the uniformity of BARC thickness across the topography, which is a very good parameter to quantitatively describe the conformality of BARC coatings. Studies on Brewer Science BARC products confirm that the proposed conformality measuring method is in excellent agreement with observations. This method offers the option to separately consider the effects of coating processing, topography type, film thickness, and inherent material properties. It affords the predictability of BARC behavior for coatings that cover different topographies.
ARC and gap fill material with high etch rate for advanced dual damascene process
In the case of LSI pattern rules with linewidth of 0.1μm or less, the conventional LSI process is no longer adequate and new process and materials are needed to further enhance the performance of LSI. The materials used to reduce delay include a wiring material, Cu, and a low-k film for interlayer insulation. The technology specially developed for using Cu instead of Al as a wiring material is Dual Damascene process (DD process). In DD process, bottom anti-reflective coating (BARC) and gap fill materials are applied on a substrate of huge topography. Therefore, the gap fill material has to provide a coating of reduced thickness bias between the areas of isolated-via and dense-via, have a higher etch rate than ArF resists, be void free, and have no intermixing with resists and BARC. In order to achieve lower dielectric constant, porous low-k materials will be used at BEOL for the next generation. Etch rates of porous low-k materials are higher than that of conventional low-k materials, which in turn requires a gap fill material of even higher etch rate. This paper describes the new BARC and gap fill material with high etch rate for 45 - 65 node DD processes. The polymer of new materials applies high oxygen content for high etch rate. The performance and via-filling properties in BARC (NCA4401C) and gap fill material (NCA2131) are discussed.
Optimization of 248nm bottom anti-reflective coatings with thin film and high etch rate on real device
A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 100nm, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity toward resists. Hynix Semiconductor Inc., Nissan Chemical Industries, Ltd., and Brewer Science, Inc. have developed the advanced Organic BARC for achieving the above purpose. As a result, the suitable high performance 248nm Organic BARCs, NCA series, were achieved. Using CF4 gas as etchant, the plasma etch rate of NCA series is about 1.4 times higher than that of conventional 248nm resists. NCA series can be minimizing the substrate reflectivity at below 45nm BARC thickness. NCA series show the excellent litho performance and coating property on real device.
New polymer platform of BARC for ArF lithography
Yoshiomi Hiroi, Takahiro Kishioka, Rikimaru Sakamoto, et al.
We found a new polymer platform for ArF BARC that can be prepared by addition polymerization. This system not only improves resist pattern collapse, but also allows control of the optimum film thickness, and etch rate by combination of compounds, method of polymerization (molecular weight control), and additives. Moreover, these materials have the unique characteristic that the resist profiles change little even if the type of resist changes.
Application of bi-layer resist on 70 nm node memory devices
Yool Kang, Jin Hong, Shi-Yong Lee, et al.
Bi-Layer Resist (BLR) process has been developed as an alternative method to overcome the limit of Single-Layer Resist lithography. Compared to other methods such as Single-Layer Resist (SLR) and Multi-Layer Resist (MLR), BLR has distinct advantages in cost down effect and quick Turn-Around-Time (TAT) due to the reduced number of process steps. In addition, it yields acceptional improvement in the Line-Width Roughness (LWR) on smaller CD. We have obtained feasible results of dense line and space patterning on various devices, which has 70 nm design rule. In this paper, a scanner of NA 0.85 is used and then appropriate condition of dry etch without any grass defect is developed. We are certain that BLR process is a strong candidate approach for the extension technology of ArF lithography and has potentially applicable in various devices.
Bilayer resists based on polyhedral oligomeric silsesquioxane for 193-nm lithography
Ramakrishnan Ganesan, Jae-Hak Choi, Hyo-Jin Yun, et al.
A novel nanomolecular resist based on POSS substituted with diazodiketo-functionalized cholate derivatives was successfully synthesized as a candidate for 193-nm lithography. The diazodiketo group was introduced into the cholate derivatives to provide the solubility change and to eliminate the problems of chemically amplified resists. The decomposition temperature of the resist was found to be 130°C. The initial lithographic studies showed the feasibility of the resist to be used as a candidate for 193-nm lithography.
New advanced BARC materials for ultra-high NA applications
James B. Claypool, Marc Weimer, Vandana Krishnamurthy, et al.
The 65nm half pitch node will require 193nm wavelength in combination with NA > 0.9 to keep k1 above 0.3. With such high angles of diffracted light the relative amount of TE (or s) polarization that contributes to image formation increases. Unfortunately, the swing curve for TE polarization is higher than normal for traditional BARC materials. This study explores new advanced bottom anti-reflective coating (BARC) materials dedicated to ultra-high NA imaging. The improvements in imaging performance over traditional BARCs are shown through simulations and experimental results with the latest high NA TWINSCAN XT:1400 exposure systems. Simulations will show the relation between various BARC and top anti-reflective coating (TARC) material approaches and high NA imaging performance. This was done, among other things, as function of illumination settings. These simulations are accompanied by experimental results with the different suggested BARC strategies as multi-layer BARCs and tunable reflective index materials. Initial experiments were done on the TWINSCAN XT:1250 with 0.85NA. After analyzing these results, further tests were done on the TWINSCAN XT:1400 NA=0.93 exposure system. These results verify the feasibility of the newly developed BARC materials.
Process optimization of developer soluble organic BARC and its characteristics in CMOS devices
Yeon Hwa Lim, Young Keun Kim, Jae Sung Choi, et al.
As the IC industry is moving toward 90nm node or below, the critical dimension size of implant layers has shrunk to 250nm or smaller. To achieve better CD uniformity, dyed KrF resist and top anti-reflective coating (TARC) are commonly used in advanced photo process of implant layers, while typical organic BARC are not used because it requires dry etch process that damages the substrate and needs additional process steps. In order to overcome those shortcomings, developable BARC is introduced. It is a new type of BARC which is soluble to developer, TMAH solution, in the resist development step. This developer-soluble KrF BARC consists of polyamic acid and its solubility to alkaline could be adjusted by changing bake condition. In this experiment, we evaluated the margin of developable BARC process. Developable BARC reduces the standing wave of photoresist and improves the ID bias and CD uniformity as applied to implant feature printing. However, Developable BARC has a narrow thermal process margin. It is the profile of developable BARC that easily changes according to the coating thickness or thermal process conditions. Even in the same bake conditions, developable BARC profile changes according to the pattern densities. To observe the effects of developable BARC on the device performance, we compare electrical data of devices produced with and without developable BARC. They have the differences in the threshold voltage, leakage current and saturation current. Probably, the residues of the developable BARC after the development bring about the differences.
Topography impacts on line-width control for gate level lithography
The dimensional variations caused by topography differences between active and non active shallow trench isolation (STI) areas, at the gate level, need to be controlled through proper use of reflectivity control methods. Line-width variation caused by topography can either be a disastrous problem or so small that it is hard to detect. Some of the primary variables include the step-height, active-area-width and planarization length of the BARC being used. In order to experimentally compare different reflectivity control methods, wafers were built with steps ranging from 7.5 nm higher to 27 nm lower than the surroundings. Organic BARC thicknesses of 90 and 130 nm were evaluated. Two resist thicknesses were also evaluated. Along with examining the effect of step-height, we also examined the effect of active-area-widths ranging from 0.5 um to 4.5 um. The data demonstrate that line-width variation going over this variety of steps is well under 1 nm when BARC and resist thicknesses are optimized.
Comparison between organic spin-on BARC and carbon-containing CVD stack for 65-nm gate patterning
Jean-Damien Chapon, Catherine Chaton, Pascal Gouraud, et al.
For the past several technology nodes, switching from spin-on organic Bottom Anti-Reflective Coatings (BARCs) to CVD organic BARCs has been proposed as the optimal solution for critical photolithography processes. However, spin-on BARC film stacks have still have widespread adoption for a variety of reasons. Despite the continuous improvement in lithographic techniques, the current challenges for 65nm (half pitch) process integration demand that critical photo processes sacrifice significant pattern collapse margin to maintain high aspect ratios. In the mean time, pressure on CD control has also continued to increase. As a result of these trends, the choice and the optimization of hard mask and antireflective solutions are a critical area of process development. This paper presents an update on the tradeoffs between spin-on organic BARCs and CVD organic integrations when applied to 65nm gate patterning constraints. The proposed Carbon containing CVD stack has shown great advantages in term of reflectivity control and in term of pattern collapse margin leading to an overall improved lithographic process window. On the other hand, satisfactory critical dimensions, without organic BARC, were seen when studying parameters such as, line width roughness (LWR), profiles and rework impact. These statements have also been assessed with some promising etch and electrical results.
EUV/E-beam
icon_mobile_dropdown
Overcoming pattern collapse of ultra high resolution dense lines obtained with EUV resists
Future lithography tools will have to address the 32 nm node. EUV lithography at 13.4 nm wavelength is the technology that may achieve such resolution if chemically amplified EUV resists show high enough resolution capabilities. However for sub 100 nm line width patterns, the pattern collapse, generated during the drying step of the developing process, becomes a serious limiting phenomenon. We performed ultra high resolution exposures of EUV positive chemically amplified resists using either electron beam lithography (EBL), or EUV interferometry Lithography (IL) produced in a synchrotron. Two theoretical models have been compared with experimental results. One is mainly dealing with adhesion failure and the other with the line deformation. Adhesion failure occurs when capillarity pressure on the pattern become stronger than the attractive Van der Walls forces assuring the pattern adhesion on the substrate. Mechanical failure occurs once the lines deflection exceeds the mechanical breaking resistance of the resist. We highlighted that pattern collapse mode depends on resist thickness. Collapsing of patterns with thickness>100 nm are properly fitted with the deformation model of the resist; whereas for pattern height under 60 nm, experimental results obtained by EUVIL and EBL are properly predicted with the adhesion failure model. To push resolution further and avoid pattern collapse, we targeted to expose sub 100 nm thick resist films. AFM3D measurements on EBL patterns show that reducing the resist thickness increases their top Line Width Roughness (LWR) testifying of physical resist properties variations in the resist interfacial layers. However we pointed out an optimum resist thickness, hence an optimal dilution. By tuning developer normality and puddle time, straight resist profiles were obtained. Finally we reached dense 40/40 nm lines in XP9947W150 resist using both exposure tools and validate the process compatibility with future etching steps by transferring 40/40 nm dense lines patterned with EBL into a metallic hard mask.
Inorganic polymer resists for EUVL
The uniqueness in extreme ultraviolet (EUV) Lithography is encouraging the development of new polymer platform as a resist material. The absorbance characteristic of materials at the EUV region demands the use of polymers containing highly transparent silicon atoms. Also very low level of outgassing is required due to the vacuum environment during exposure and the extremely high cost of the EUV tools. To fulfill those requirements, two types of silicon backbone polymers were studied; chemically amplifiable polysilanes and polysilsesquiazanes. In the former case, the direct incorporation of acid sensitive groups into the polymer backbone allows for a solubility switch upon exposure. In the later system, this nitrogen-containing silicon polymer can be cleaved upon exposure to induce a solubility switch. These polymers possess many essential properties including low absorbance, low outgassing, and high sensitivity. Polymers having different substituents and branching ratios were synthesized. The properties of the polymers will be discussed relating to their lithographic performances.
Development of electron beam resists based on amorphous polyphenols with low molecular weight and narrow dispersion
Taku Hirayama, Daiju Shiono, Shogo Matsumaru, et al.
We have investigated the possibility of amorphous low molecular weight polyphenols as a chemically amplified positive-tone electron-beam (EB) resist. Low molecular weight polyphenol, 4'4-methylenebis{2-[di(2-methyl-4-hydroxy-5-cyclohexylphenyl)]methyl} phenol (3M6C-MBSA) as a base matrix, was protected by 1-ethoxyethyl (EE) groups to control the dissolution rate in 0.26 N tetramethylammonium hydroxide aq. developer. The film distribution in the depth direction for resist components with a Time-of-Flight Secondary Ion Mass Spectrometry (TOF-SIMS) and the Fourier amplitude spectra of line-edge roughness (LER) have been investigated to understand the relationship between them for the resists formulated with 3M6C-MBSA and two types of photo acid generator (PAG), triphenylsulfonium perfluoro-1-butanesulfonate (TPS-PFBS) and triphenylsulfonium n-octanesulfonate (TPS-nOS). From these results, it was found that the resist film consisting of TPS-nOS showed more homogeneous in the depth film distribution than that with TPS-PFBS, and the resist with TPS-nOS also indicated the suppressed LER value of 5.1 nm in the wide frequency range. Therefore, the homogeneity of the resist film may affect the pattern LER.
Block co-polymerized polyimide resists for KrF lithography and EB lithography with high dry etching resistance
Sucheta Mukund Gorwadkar, Taro Itatani, Hiroshi Itatani
We have developed a series of block co-polymerized polyimides for KrF lithography and EB lithography. Block co-polymerization is a synthesis method to control molecular structures. We have developed solvent soluble polyimides in powdered form. We can use these polyimides for dielectric materials in devices, and also can use as a dry etching mask in semiconductor processes. Here we report the details of dry etching properties for the block co-polymerized polyimides by reactive-ion-etching (RIE) using fluoride and chloride gases, typically used for Si etching and GaAs etching, respectively. Etching rates for EB exposed polyimide, photosensitive polyimide, novolac standard photoresist and Si at the condition of 80 W RF power and 60 sccm SF6 gas flow, were measured as < 1 nm/min, 1.7 nm/min, 34 nm/min and 31 nm/min, respectively. We also checked the etching rate for EB exposed polyimide, photosensitive polyimide, novolac standard photoresist and GaAs at the condition of 50 W RF power and 3 sccm Cl2 gas flow in the inductive coupled plasma (ICP) RIE, were measured as < 1 nm/min, 50 nm/min, 120 nm/min and 500 nm/min, respectively. Dry etching selectivity of EB exposed polyimide to Si is more than 31, and dry etching selectivity to GaAs is more than 500. These values are much higher compared to novolac standard photoresist. The high resolution EB exposed polyimide is promising for nano-patterning as stable dry etching mask. RIE resistance for polyimide photoresist is 20 times more than novolac standard photoresist for SF6 etching gas, and more than twice for Cl2 etching gas.
Performance of EUV photoresists on the ALS micro exposure tool
Thomas Koehler, Robert L. Brainard, Patrick P. Naulleau, et al.
The new high NA (0.3) Micro Exposure Tool at the Advanced Light Source (MET@ALS) at Lawrence Berkeley National Laboratories provides the first opportunity to evaluate the ultimate resolution capabilities of chemically amplified resists using EUV lithography. We characterized the imaging capabilities of a well-known tool-test resist (EUV-2D, XP98248B) and a new high resolution resist (MET-1K, XP3454C). Emphasis was placed on evaluating resists for focus and exposure latitude at 50 nm dense and isolated lines. MET-1K is capable of resolving 30 nm lines and shows modulation in 25 nm dense lines. We describe some early process optimization experiments using MET-1K that show further advances in lithographic capability. Another new series of resists (MET-2A, 2B, 2C, 2D) also show great promise for good resolution, LER and sensitivity.
Quantification of EUV resist outgassing
Wang Yueh, Heidi B. Cao, Vani Thirumala, et al.
Outgassing from EUV photoresists under EUV irradiation must be minimal in order to prevent contamination of EUV optics. A better understanding of both the level of outgassing of current EUV resists, and the effect of outgassing on EUV optics is needed to set outgassing targets and to assess the risk of resist outgassing in EUV tools. In this paper, we document a technique for quantifying the EUV resist outgassing using both internal and external standards to baseline the GC/MS. In a comparison of internal and external standard, the two techniques give consistent baseline results. Quantification of the outgassing of a number of experimental EUV photoresists shows outgassing levels of 1E+10 - 1E+15 molecules/cm2 at the relevant lithographic dose. Protecting groups and PAG fragments are identified as the main outgassing components. The use of high activation energy resists, and non-ionic PAGs are identified as possible methods to reduce the outgassing levels of EUV photoresists.
Immersion
icon_mobile_dropdown
Study on the resist materials leaching from resist film during immersion exposure for 193nm using QCM method
F2 lithography and 193nm immersion lithography are considered candidates for 65nm node lithography technology. Of these two, 193nm immersion lithography, the latest incarnation of ArF lithography, has attracted more attention. Immersion lithography is different from conventional dry lithography in that the resist is exposed in liquid. Thus, the resist materials leaching from the resist film during exposure and the dissolution of acids generated by the exposure cause problems. Particularly, the resist materials leaching tends to contaminate the surface of the lens. We have been conducting studies on the leaching during exposure using the QCM method. In the present work, we apply this method to the immersion exposure. We report here the results of an in-situ measurement of the resist mass change during immersion exposure and discuss our analysis regarding the resist materials leaching from the resist film during the exposure.
Studies on leaching of photoresist components by water
Seung Keun Oh, Jong Yong Kim, Young Ho Jung, et al.
Immersion lithography has drawn tons of interests as a potential solution for sub-65nm patterning. High refractive index liquid, which is filled in the gap between exposure lens and a photoresist, can improve a resolution through increased effective numerical aperture (NA) of the exposure system. Most attractive liquid for this purpose is water. Our works were conducted as a part of the basic study for immersion lithography and aimed for the verification of leached resist components by water. It was observed that leaching relies largely on the free volume of a polymer and anion size of photoacid generator (PAG). The larger free volume and the smaller anion, the larger T-top resist profile was generated. Additionally, effects of solvents, quenchers and polarity of the polymer were investigated. Detailed results will be reported in this paper.
Optimization of equipment for 193-nm immersion processing
For immersion lithography at 193 nm, there is concern that the immersion of resist in water during exposure might cause water to penetrate the resist or resist components to dissolve into water, or that water remaining after exposure might affect subsequent processes. It is also thought that the same concerns are likely to be felt even if using a protective top coat. In this paper, we report on three key findings. First, after immersing resist in water using virtual immersion methods and evaluating the effect of water on critical dimension (CD) and defects, it was found that CD changes and defects increase. Second, as a result of performing the same evaluation when using a top coat, it was found that CD changes and defects increase despite top-coat application. Finally, a significant amount of knowledge can be obtained for the development of optimal 193-nm immersion lithography equipment as a result of wafer processing using real inline tools for immersion exposure and coating/developing.
Adhesion and removal behavior of nanoscale bubble on resist film surface for immersion lithography
Akira Kawai, Atsushi Ishikawa, Takayoshi Niiyama, et al.
Various sizes of concave square patterns are used for microscale bubble adhesion and removal investigation in a water/methanol mixture solution. As decreasing the surface energy of the solution, the micro bubbles are more likely to remove from the square patterns. However, the micro bubble is less likely to remove as decreasing the square size of patterns. The threshold concentration of water/methanol solution for bubble removal can be determined experimentally. Based on the surface energy analysis, the adhesion and removal mechanisms of micro bubble can be explained. The nanoscale bubbles adhered on an ArF excimer resist surface can be observed clearly by using atomic force microscope (AFM). The growth of bubbles on the ArF excimer resist surface can be imaged. By the AFM technique, nanoscale bubble can be divided into some minute bubbles on the ArF resist surface under applying certain force about 5nN. The condensation nature of nanoscale bubbles is discussed.
Influence of the watermark in immersion lithography process
In the liquid immersion lithography, uses of the cover material (C/M) films were discussed to reduce elution of resist components to fluid. With fluctuation of exposure tool or resist process, it is possible to remain of waterdrop on the wafer and watermark (W/M) will be made. The investigation of influence of the W/M on resist patterns, formation process of W/M, and reduction of pattern defect due to W/M will be discussed. Resist patterns within and around the intentionally made W/M were observed in three cases, which were without C/M, TOK TSP-3A and alkali-soluble C/M. In all C/M cases, pattern defect were T-topped shapes. Reduction of pattern defects due to waterdrop was examined. It was found that remained waterdrop made defect. It should be required to remove waterdrop before drying, and/or to remove the defect due to waterdrop. But new dry technique and/or unit will be need for making no W/M. It was examined that the observation of waterdrop through the drying step and simulative reproduction of experiment in order to understand the formation mechanism of W/M. If maximum drying time of waterdrop using immersion exposure tool is estimated 90 seconds, the watermark of which volume and diameter are less than 0.02 uL and 350um will be dried and will make pattern defect. The threshold will be large with wafer speed become faster. From result and speculations in this work, it is considered that it will be difficult to development C/M as single film, which makes no pattern defects due to remained waterdrop.
High-RI resist polymers for 193 nm immersion lithography
Andrew K. Whittaker, Idriss Blakey, Heping Liu, et al.
A critical aim within the field of 193 nm immersion lithography is the development of high refractive index immersion fluids and resists. Increases in the refractive index (RI) of the immersion fluid will result in increases in the numerical aperture and depth of focus. Increasing the RI of resist polymers will improve exposure latitude for the process. A challenge for increasing the RI of resist polymers is to do so without detrimentally affecting other properties of the polymer such as transparency, line edge roughness, adhesion and plasma etch resistance. It is well known in the literature that introducing sulfur, bromine or aromatic groups into a polymer structure will increase its RI. However, due to the relatively strong absorption of phenyl groups at 193 nm these groups have to be avoided. Furthermore, the use of bromine poses problems associated with contamination of the silicon wafer. Hence, in this study, a systematic approach has been used to increase the sulfur content of 193 nm type resist polymers, by synthesis of sulfur-containing monomers and by performing bulk modifications of the polymer. The effect of sulfur content on the RI at 193 nm was then investigated. A broad study of the relationship between molecular structure and RI dispersion from 250-180 nm has also been undertaken, and conclusions drawn using QSPR methodologies. Finally, the effect of sulfur content on other lithography parameters, such as transparency, adhesion and plasma etch resistance, was also evaluated.
Fluids and resists for hyper NA immersion lithography
J. Christopher Taylor, Ramzy Shayib, Sumarlin Goh, et al.
Immersion lithography at 193 nm has rapidly changed status from a novel technology to the top contender for the 45 nm device node. The likelihood of implementation has raised interest in extending its capabilities. One way to extend immersion lithography would be to develop immersion fluids and resists with higher refractive indices than those currently available (n193 nm = 1.44 for water and n193 nm = 1.7 for typical resists). This work explores methods by which the index of refraction of immersion fluid could be increased to that of calcium fluoride (n193 nm = 1.56) or higher. A survey of the optical properties of various aqueous solutions was performed using spectroscopic ellipsometry. The refractive index of the solutions is measured to identify additives that might increase index while maintaining suitable pH, viscosity and contact angle. Also, ways to increase the index of model resist systems were explored. Higher index resists would help improve contrast in hyper-NA exposure tools.
Nanocomposite liquids for 193 nm immersion lithography: a progress report
George Chumanov, David D. Evanoff Jr., Igor Luzinov, et al.
Immersion lithography is a new promising approach capable of further increasing the resolution of semiconductor devices. This technology requires the development of new immersion media that satisfy the following conditions: the media should have high refractive index, be transparent and photochemically stable in DUV spectral range. They should also be inert towards photoresists and optics and be liquid to permit rapid scanning. Here we propose and explore a novel strategy in which high refractive index medium is made of small solid particles suspended in liquid phases (nanocomposite liquids). The dielectric particles have high refractive index and the refractive index of nanocomposite liquids becomes volume weighted average between refractive indices of nanoparticles and the liquid phase. We investigate aluminum oxide (alumina) nanoparticles suspended in water. Alumina is known to have high (1.95) refractive index and low absorption coefficient at 193 nm. Alumina nanoparticles were prepared by chemical methods followed by removal of organic molecules left after hydrolysis reactions. Measurements of optical and reological properties of the nanocomposite liquid demonstrated potential advantage of this approach for 193 nm immersion lithography.
EUV/E-beam
icon_mobile_dropdown
Newly developed polymer bound photoacid generator resist for sub-100-nm pattern by EUV lithography
Extreme UV lithography (EUVL) is one of the most promising NGL technologies for sub-100nm resolution. We are developing polymer bound PAG resists for patterning down to the 32 nm node by EUVL. It has been reported that photoacid generators have limited compatibility with the chemically amplified polymer resist matrix that leads to phase separation, non-uniform acid distribution and migration during the baking process. To alleviate these problems, it is proposed that PAG units be incorporated in the resist chains, rather than adding monomeric PAG in to the resist polymer. The polymer bound PAG resists, poly (4-hydroxystyrene-co-2-ethyl-2-adamantyl methacrylate-co-PAG) were synthesized with different PAG loading (2% to 10.5%) using free radical polymerization. These resists contain the bulky adamantly protecting group to improve lithographic performance. The incorporation of photoacid generators (ionic and covalent) in the main chain of the polymer enhanced sensitivity and contrast compared to conventional PMMA resist and polymer with blend PAG. It was found that the sample with 5% PAG loading in the main chain gave sub 50 nm features using EUV exposure.
LER
icon_mobile_dropdown
Effect of hard bake process on LER
Munirathna Padmanaban, David Rentkiewicz, SangHo Lee, et al.
Line-edge roughness (LER) continues to be one of the biggest challenges as the CD size shrinks down to sub 100 nm. It is shown that resist components as well as illumination conditions play a big role. Influence of resist components in both 248 and 193nm chemically amplified resist formulations has been reported but the root cause is not fully understood and may be platform or even specific formulation dependent. This paper attempts to tackle the issue from the processing side. Effects of a simple hard bake process on the LER were studied. In the hard bake process, a given resist pattern was typically baked close to the glass-transition temperature after the development process. LER improved dramatically due to melting down of the rough surface. However, the wall angle of the edge lines also started to degrade at the optimum hard bake temperature. Studies on the effects of polymer Tg, hard bake temperature and time and the issues of the process are discussed.
Novel Materials/Applications
icon_mobile_dropdown
A novel patterning method of low-resistivity metals
Chang-Ho Noh, Jin-Young Kim, Ho-Chul Lee, et al.
A new metal patterning process using photocatalyst was developed to reduce the number of chemical processing steps and to obtain high resolution. Films of amorphous TiO2 and water-soluble polyvinyl alcohol were used as photocatalytic layers. UV light was illuminated through a photomask onto the photocatalytic layers. Pd(II) in an aqueous solution was reduced to Pd(0) by the exposed TiO2 and deposited on the exposed regions. Selective electroless Ni/Cu plating on the Pd patterns showed high resolution metal patterns. Process parameters such as exposure dose and postexposure time delay were optimized to confirm the feasibility of this method. It was established that high resolution metal patterns of low resistivity with good adhesion were formed only at a small process steps without using high cost materials and equipments. Selective growth of carbon nanotubes on the Ni patterns was carried out by plasma-enhanced chemical vapor deposition. It’s expected that this methods will have several benefits for fabricating the microelectronic devices, especially in the large size flat panel display.
Characterization of 100 micron thick positive photoresist on 300-mm wafers
Warren W. Flack, Ha-Ai Nguyen, Elliott Capsuto, et al.
The widespread adoption of advanced packaging techniques is driven by device performance and chip form factor considerations. Flip chip packaging is currently growing at a thirty percent compound annual rate and it is expected that in the near future over sixty percent of all 300 mm wafers will be bumped. To ensure optimal productivity and cost of ownership it is imperative to provide lithographic equipment and materials that are optimized for these applications. Due to the constantly shrinking bump pitch, it is critical to show excellent CD uniformity across the entire 300 mm wafer surface for feature sizes as small as 70 microns. Flip chip packaging as well as Nanotechnology (MEMS) applications frequently use one or more very thick photoresist layers for electroplating applications. The plating levels require a photosensitive polymer material capable of coating, exposing and electroplating with conventional equipment and standard ancillary process chemicals. Additionally the process times for coating, baking, exposure and development must be considered since these impacts the overall cost of ownership of the lithography cell. For thick photoresist layers the sidewall profile, plating resistance and postplating stripability are important characteristics. This study will characterize a novel single coat, positive tone photoresist (ShinEtsu SIPR 7120-20) used in electroplating levels up to 100 μm thick on 300 mm wafers exposed with the Ultratech Spectrum 300e2 stepper and coated and developed with a Steag Hamatech Modutrack system. Process capability is determined by analyzing photoresist film thickness uniformity and critical dimension (CD) control across the wafer. Basic photoresist characterization techniques such as cross sectional SEM analysis are used to establish lithographic capabilities. This study shows excellent adhesion to copper with no surface treatment and no photoresist popping during exposure or post exposure bake (PEB). High aspect ratio, lead-free, solder structures were then electroplated using the optimized photoresist process to demonstrate photoresist durability and stripability.
A comparison of new thick photoresists for solder bumping
Warren W. Flack, Ha-Ai Nguyen, Mark Neisser, et al.
The performance requirements for ultra-thick photoresists are rapidly increasing with the dramatic growth in lithographic applications that require electroplating processes. Two of the main applications for ultra-thick photoresists are advanced packaging and nanotechnology (MEMS). Flipchip packaging has become widely adopted to address electrical device performance and chip form factor considerations. The growth in the nanotechnology market is driven by a wide range of products, which include accelerometers, ink jet print heads, biomedical sensors and optical switches. The requirements of thick photoresists for solder electroplating are significantly different from typical thin photoresists used in front end of line applications. As the photoresist becomes thicker, processing times increase for many process steps. Photospeed gets slower due to the requirements for more chemical reactions per area of coating. Coating uniformity and edge bead control also become more difficult as photoresist films get thicker and time delay issues between process steps can arise. This result has led to the requirement for special photoresist formulations for thick photoresist films. These are traditionally positive tone DNQ-Novolak materials such as AZ 50XT. Such materials can be designed to work for a particular range of thicknesses, but as the desired thicknesses increases the processing times can become very long for high volume manufacturing. Many new bumping schemes require photoresists in a 60 to 70 μm thickness range. While DNQ-Novolak chemistry can work, there is a desire for faster alternatives to improve total cost of ownership (COO) of the lithography cell. In order to have fast photospeeds and reasonable processing times a chemistry that is very photo efficient is needed. Negative tone cross linking chemistries, which can give tens of thousands of chemical events for one photochemical event, provide excellent photospeed and process times. Positive tone chemically amplified photoresist provide hundreds or thousands of chemical events per photochemical event. They are somewhat slower in photospeed than free radical materials, but still provide reasonable photospeeds. This paper compares the lithography and processing performance of these two newer types of thick film chemistries with the performance of a state of the art DNQ-Novolak thick film photoresist. The lithographic performance of these three ultra-thick positive photoresists were optimized to control critical dimensions (CD), sidewall angles and aspect ratios. The experimental results includes process latitude studies, electroplating performance and stripping performance. The general result is that negative free radical chemistry has the edge in photo-speed and processing times, but positive photoresist is better for stripping and perhaps for process integration.
Study on nano imprint lithography by the pre-exposure process (PEP)
Numerous methods are available for lithography below the 100 nm node scale, including F2, 193 nm immersion, EB, EUV, and imprint lithography. Among these methods, imprint lithography has attracted significant attention because it does not require expensive exposure equipment. Imprint lithography can be performed by one of two primary methods: the thermal method or the UV curing method. In thermal imprinting, the resin is softened above Tg before being formed by a mold. In UV imprinting, a transparent mold is applied to a liquid resin, which is then exposed to UV light for curing. Thermal imprinting requires a pressure of 10 MPa and consumes throughput (to increase and reduce the temperature) time ["requires time for throughput (i.e., time required to increase and reduce temperatures)"]. In contrast, UV imprinting does not require high pressure, since the resin is basically a viscous liquid and soft enough to be deformed. However, since the resin is in liquid form, the UV imprinting process is sensitive to the flatness of the substrate and mold. Problems of non-uniformity (i.e., interference patterns) have been noted in residual film distribution. In response, we developed what we call the PEP method, which combines the advantages of both thermal and UV imprinting. We have performed various experiments to examine the consequences of the PEP approach. The Pre-Exposure Process method essentially consists of a type of UV imprinting, but one in which the resin is subject to extremely weak exposed prior to the pressing ["exposed to very weak UV radiation before pressing"], which slightly hardens the resist and increases rigidity. The mold is then pressed to shape the resin, followed by the primary exposure. This process allows the resin to maintain softness equivalent to that at or above Tg in thermal imprinting, while allowing processing, as in UV imprinting. We also examined the relationship between exposure and crosslinking ratios, using FT-IR equipment with an exposure function, to determine the optimal crosslinking ratio for the PEP method. The results of these examinations are also reported.
Novel single-layer i-line positive resist lift-off process with oxidation step in develop
Jianxin Zhu, David N. Tomes Sr., Frank Yaghmaie, et al.
A novel single-layer Rohm and Hass SPR220 lift-off processes with oxidation step in a double-cycle develop is introduced to offer promising lift-off profiles. First, the coated wafer uses a typical Pre-soak process before exposure to introduce an inhibition layer in the film. After exposure and PEB, a three-step (develop-oxidation-develop) develop process is used. Oxidation step is done by rinsing in DI water and drying the film after the first develop step prior to the second develop step. SEM cross-section inspection shows the wafers have gone through the oxidation step between the two develop cycles and present an ideal lift-off profile. This work has shown these profiles are not attainable without the oxidation step. The lift-off profile is repeatable as resist thickness changes between 2 - 6μm. The process also shows excellent process window capability and stability. It can be ideally used for thin-film deposition or a self-aligned one-mask etch/deposition application. We will also discuss and show process development for films at thickness’ of 1μm or less for smaller CD applications.
Novel Processing
icon_mobile_dropdown
Photoresists for CO2-based next-generation microlithography
Two routes have been pursued toward the fabrication of photoresists for next-generation microlithography, using condensed carbon dioxide as the processing solvent. Addition polymers containing a norbornyl backbone were synthesized to include fluorinated moieties and chemical amplification switching groups. Other polymers, synthesized free radically in condensed CO2, include partially fluorinated backbones. These materials have been characterized and their lithographic properties evaluated. Solubility differences between exposed and non-exposed resist have been observed in these novel systems, which should provide the necessary contrast for high-resolution imaging.
Novel Materials/Applications
icon_mobile_dropdown
Encapsulation of light emitting materials and photo-patterning using B-cyclodextrin
By using inclusion chemistry, low-molecular-weight organic materials such as 8-hydroxy-quinoline derivative-metal complexes (ZnQ2, AlQ3) were encapsulated by t-butyloxycarbonyloxy (t-BOC)-protected β-cyclodextrin to increase their processibility and to protect them against atmospheric environment. Since the products showed increased solubility in common organic solvents such as tetrahydrofuran, methylenechloride, and chloroform, they could be processed by solution method like spin-coating. Their absorbance and emission spectra were nearly the same compared to their unmodified coordinated complex. By using deprotection reactions of t-BOC groups, these materials were patterned by a simple photolithographic process.
On-site mixing and preparation of polyimide resists for reliable nanopatterning
Sucheta Gorwadkar, Taro Itatani, Masanori Komuro, et al.
Polyimides are attractive candidates in microelectronics due to their high thermal and chemical stability, low dielectric constants and high dry etching resistance. However, polyimides in solution form have tendency to absorb moisture, which could lead nonreproducibility in nanometer scale patterning. Since last 10 years, we have been developing a series of solvent soluble polyimides in powdered form, by using block-copolymerization process in the presence of binary catalyst. Here, we report our developed process for customized polyimide resist formulation and on-site resist preparation prior to any lithographic applications. The results of applications of on-site mixed and prepared polyimide resists for 435 nm, 365 nm, 248 nm and e-beam lithographies are summarized in this paper. The reproducibility of high resolution nanometer scale patterns by electron beam (e-beam) could observed even after five years, using the same stock of polyimide powder using the on-site mixing process. The results are given in this paper.
Block-copolymerized polyimides for optical waveguides
Taro Itatani, Sucheta Gorwadkar, Akinori Shiotani, et al.
We have developed polyimides for optical waveguide synthesized by block-copolymerization method. We demonstrated the optical waveguide with rather low refractive indices. Lower refractive indices make larger waveguide size and easier coupling to optical fibers. We applied polyimides with lower refractive indices with a fluorinated polyimide for the clad and polyimide of dedrimer structures for core. The refractive indices are precisely controlled as 0.01 by thermal conditions. This core polyimide has patterned by i-line process and formed optical waveguide.
Multilayer ultra thick resist development for MEMS
Yasushi Washio, Takahiro Senzaki, Yasuo Masuda, et al.
MEMS (Micro-Electro-Mechanical Systems) is achieved through a process technology, called Micro-machining. There are two distinct methods to manufacture a MEMS-product. One method is to form permanent film through photolithography, and the other is to form a non-permanent film resist after photolithography proceeded by etch or plating process. The three-dimensional ultra-fine processing technology based on photolithography, and is assembled by processes, such as anode junction, and post lithography processes such as etching and plating. Currently ORDYL PR-100 (Dry Film Type) is used for the permanent resist process. TOK has developed TMMR S2000 (Liquid Type) and TMMF S2000 (Dry Film Type) also. TOK has developed a new process utilizing these resist. The electro-forming method by photolithography is developed as one of the methods for enabling high resolution and high aspect formation. In recent years, it has become possible to manufacture conventionally difficult multilayer through our development with material and equipment project (M&E). As for material for electro-forming, it was checked that chemically amplified resist is optimal from the reaction mechanism as it is easily removed by the clean solution. Moreover, multiple plating formations were enabled with the resist through a new process. As for the equipment, TOK developed Applicator (It can apply 500 or more μms) and Developer, which achieves high throughput and quality. The detailed plating formations, which a path differs, and air wiring are realizable through M&E. From the above results, opposed to metallic mold plating, electro-forming method by resist, enabled to form high resolution and aspect pattern, at low cost. It is thought that the infinite possibility spreads by applying this process.
Development status of thick film photoresist for semiconductor packaging
Koichi Misumi, Koji Saito, Hiroyuki Obiya
In recent years, the demand of thick film photoresists for both copper metal posts and solder bump has been rising for Wafer Level Chip Size Package (WL-CSP) applications. The polymerizing negative tone photoresist, typified by Dry-film photoresist, for metal post applications is currently the mainstream method, but difficulty in removal, thickness selectivity, scaling of chip size and high definition requirements has made the development of a positive tone photoresist for thick film application a necessity. However, the sensitization of a conventional DNQ positive tone photoresist system was difficult due to the nature of the reaction mechanism. In order to meet these requirements, a study was made with a new approach with a positive tone chemically amplified photoresist system, and will be explained in detail in this paper. In general, DNQ type thick photoresist for plating process is developed from the positive tone photoresist platform for semiconductor application through optimization of resist composition and improvement of cracking during the plating process through addition of plasticizers. Inherent performance or compatibility issues with the conventional plasticizer in positive tone chemically amplified photoresist have lead to the development of plasticizer with protecting group. This modification of composition has improved the phtoresist for cracks and swelling of bumps after plating. This is the first chemically amplified photo resist designed for use in the consumer product manufacturing industry.
Enhanced inorganic bimetallic thermal resists transparency and resolution for photomask fabrication
Glenn Chapman, David Poon, Chinheng Choo, et al.
Bimetallic films have been found to be promising direct write binary and grayscale photomask materials, as they turn transparent after laser exposure. Current structural analysis shows that the laser exposure is an oxidation process. The amount of the oxidized metal created during the laser writing process is related to the laser power, which in turn, determines the gray level (OD) of the exposed film. New exposure conditions have greatly increased the transparency of exposed films (down to 0.18 OD at 365 nm). Furthermore, this extended to deeper UV (300 nm). As the transparency of exposed area changes with the laser exposure power, grayscale photomasks can be created with the bimetallic films, and 3D structures can be produced in the substrate. Interference lithography has been used to investigate the bimetallic films resolution limit, which can generate much finer structures. Lines of 100-180 nm wide were successfully created on silicon and silicon dioxide. Aluminum thin films were found to turn transparent (0.28 OD) after laser exposure with high power, indicating that Al can also be a potential direct-write photomask material.
Pattern Collapse/Defectivity
icon_mobile_dropdown
Analysis for collapse behavior of resist pattern in short develop time process using atomic force microscope
Masakazu Sanada, Osamu Tamada, Atsushi Ishikawa, et al.
Adhesion property of resist is characterized with DPAT (direct peeling with atomic force microscope (AFM) tip) method using 193 nm resist patterns of 180 nm dot shape which were developed for various developing time between 12 and 120 seconds in order to analyze the phenomenon which the short develop time process had led to suppress the pattern collapse. Surface free energy and refractive index of resist film treated with the developing time were also investigated from a thermodynamic point of view. The balance model among surface energy was adopted for analyzing intrusion phenomenon of developer solution into the resist-substrate interface. It can be explained quantitatively that the intrusion energy of developer solution acts to weaken the adhesion strength of resist pattern to the substrate. Furthermore, the intrusion energy became larger with increasing developing time. Analysis with the DPAT method indicates that the pattern collapse occurs accompanied with interface and cohesion destruction. Interface-scientifically speaking, the short develop time process proved to be effective to suppress the pattern collapse because of higher adhesion energy of the resist pattern to the substrate in shorter developing time.
Mechanism study of defect improvement by short develop time process
Recently, importance of reducing the post development defects is being emphasized. There are a lot of countermeasures to reduce the defects. However, most of them are quite costly or require complicated process systems. In our previous report, it was found that short develop time process is effective to reduce micro bridge defects for a 193 nm resist, although the mechanism of this phenomenon was unclear. In this study, we focused on the properties of a 193 nm resist during the development process for the purpose of finding the mechanism of defect reduction by short develop time process. We first evaluated the effect of “exposure dose” and “developing time”; two parameters which were inevitably changed in short develop time process. Our original defect evaluation method was employed for this purpose. Evaluation results indicated that increased exposure dose to optimize critical dimension (CD) in short develop time process has a larger impact on defectivity than shortening developing time itself. Infrared (IR) spectroscopy study of the resist film revealed that there was a good correlation between defectivity and deprotection ratio of the resist polymer, which suggested that polarity of the resist was a key to control defectivity. Finally, impact of resist polarity on defectivity was confirmed by changing the polarity of the rinse solution. Based on these experimental results, we proposed the mechanism of development defect improvement by short develop time process.
Mechanical strength of resist film analyzed by tip indentation method
Osamu Tamada, Masakazu Sanada, Atsushi Ishikawa, et al.
Mechanical strength of resist film processed by various post apply bake (PAB) conditions were measured utilizing the tip indentation method using atomic force microscope (AFM). With the tip indentation method, we could quantify mechanical strength of resist film in terms of “degree of softening.” It was found that PAB at our standard baking temperature tends to lead to softening of the resist film which is considered due to existence of softening point of the resist polymer. Also changing baking time at this temperature showed very complicated softness behavior. By control of baking temperature, we could obtain harder resist film as baking time becomes longer. Further analysis of these resist film properties by ellipsometry suggested that changes in mechanical strength occur by the evaporation of the resist solvent and/or structure changes inside the resist film, depending upon baking conditions.
Combined pattern collapse and LWR control at the 70 nm node through application of novel surface conditioner solutions
Peng Zhang, Manuel Jaramillo Jr., Madhukar B. Rao, et al.
As pattern collapse and line width roughness (LWR) become critical lithography challenges, there is growing interest in applying surface conditioner solutions during the post-develop process to address BOTH these issues. In this paper, we patterned 90nm 1:1.2 lines/spaces (L/S) on 200mm wafers and 70nm dense lines on 300mm wafers to evaluate the combined performance of pattern collapse and LWR using newly formulated surface conditioners. The performance of each conditioner was compared to the standard formulation, which is capable of significant pattern collapse reduction, but affords no LWR improvement. These newly improved formulations enabled a ~20% LWR reduction for 90nm features and a ~10% LWR reduction for 70nm dense lines. In addition, the new formulations significantly enlarged the LWR and CD process windows for 70nm dense lines, as demonstrated by a 50% increase of maximum depth of focus (DOF) over the standard formulation.
Resist Fundamentals
icon_mobile_dropdown
Deprotonation mechanism of poly(4-hydroxystyrene) and its derivative
Atsuro Nakano, Kazumasa Okamoto, Yukio Yamamoto, et al.
With the shrinkage of pattern sizes, the elucidation of reaction mechanisms at molecular level has become essential to resist design. Especially, proton dynamics is the most important issue for sensitivity and resolution of chemically amplified resists. Also, nanoscale topography of patterned resist surface such as line edge roughness may be explained by precise proton dynamics. In chemically amplified resists for post-optical lithographies such as EUV and electron beam lithography, it has been reported that protons come not from acid generators but from base polymers. Determining proton sources is a key to understanding reaction mechanisms at molecular level. In this article, we investigated deprotonation mechanism of poly(4-hydroxystyrene) and poly(4-methoxystyrene) on the exposure to ionizing radiation.
Diffusion contributions to line end shortening in 193-nm photolithography
As the device design rule is continuously shrinking, line end shortening (LES) has grown to be one of the critical problems in 193 nm photolithography. Among several factors causing LES, diffusivity of photo-generated acid seems to have the most profound effect. Also, diffusivity of base quencher produces equivalent effects on LES, but in the reversed way. Besides, post-exposure bake (PEB) condition is another key factor by affecting diffusion length of photo-generated acid. Low LES can be achieved by lowering PEB temperature or shortening its time. In this paper, we will discuss our experimental results to assess the determining factors of LES and suggest controllability of LES in ArF lithographic process.
A convenient method to measure the quantity of the acid generated by PAGs and acid amplifiers
Liyuan Wang, Zhanxing Chu, Liying Sheng
A convenient method was set up to measure the amounts of acid generated by PAGs and acid amplifiers based on the UV absorbance change of bromophenol blue aqueous solution with the addition of acid. The acid generating property of three different kinds of PAGs and acidolysis property of two 1-substituted cis-1,2-cyclohexanediol monosulfonates were investigated and discussed with this method. The measurements were performed in aqueous solution.
Synthesis of copolymers containing diazoketo groups and their application as DUV resists
We synthesized a new type of polymers that have diazoketo groups instead of acid-labile protecting groups. The polymers do not need a photoacid generator for formulation of resists. That is, the new matrix polymers absorb UV light and produce carboxylic groups. Also, there is no necessity for a post-exposure bake step, which is the cause of post-exposure delay effects. New monomer, ethyl 2-diazo-4-methyl-3-oxo-pent-4-enoate was synthesized. This monomer was copolymerized with hydroxystyrene and adamantyl methacrylate. After UV exposure, the polymers became soluble in an aqueous base developer. The polymers showed bleaching effect after UV exposure. Thermal properties of the polymers were measured by TGA and DSC. Characterization of the polymers has been done using other techniques such as FT-IR, NMR, GPC, and UV. The resist patterns of 0.8 μm feature size were resolved using a DUV contact printer and with a tetramethylammonium hydroxide aqueous solution.
Comparison of resist outgassing at wavelengths from 193 nm to 13 nm
Wolf-Dieter Domke, Karl Kragler, Marion Kern, et al.
Corresponding to the ITRS roadmap, EUV Lithography will in the not-too-far future reach the point, where critical resist dimensions are in the same order of magnitude as polymer chains and acid diffusion lengths, while photon energies will largely exceed the binding energies of all organic molecules. Especially in EUV, where secondary electron side reactions may lead to a higher outgassing of polymer fragments than in 157nm and 193nm lithography, outgassing is agreed to be a critical issue for resist development. In this paper EUV, 193nm and 157nm outgassing is characterized using an online mass spectrometer attached to several different outgassing setups (i.e. synchrotron, laser). The total outgassing and the time dependent outgassing of resist fragments has been characterized for a number of resist polymer platforms. The results are compared and discussed in terms of the applied photon energies and differences in EUV, 157nm and 193nm exposures. Time dependent scanning of selected mass channels was used to differentiate if an outgassing fragment had its origin from the photoacid generator (PAG) or from a photolytic or a photochemical reaction of the polymer matrix. For EUV, correlations are given between resist outgassing and high dose crosslinking and scissioning behaviour of EUV resists.
Resist Processing
icon_mobile_dropdown
Advanced microlithography process with multiple-chemical trim technology
Shrinkage technologies have attracted much more attention recently. The main shrinkage techniques are either to generate a thermal flow in the photo-resist with a high-temperature baking process, or to form a top layer with mixing bake treatment. In this paper, United Microelectronics Corporation (UMC) introduces a new shrinkage technology called MCTP (multiple Chemical Trim Process) and present the experimental results for our evaluation of the MCTP to implement the 90nm gate layer. Furthermore, this paper focuses on the correlation between developer process and mixing bake treatment, which has greatly benefits process window and leads to good line-edge roughness (LER) performance, especially for line-end shortening.
Evaluation of photo resist coating performance of small dispense nozzle size in photolithographic spin coating process
Xiao Li, Tom Lehmann, Warren Greene
As critical dimensions (CD) in semiconductor devices decrease past the 130 nm node, the requirements of photoresists to meet process and manufacturing needs increase significantly. This is reflected in a dramatic increase in photoresist cost. Reduction of photo resist consumption to reduce costs while maintaining resist coating quality is becoming a major challenge for process and equipment engineers in semiconductor industry. In this study, six small dispense nozzle sizes (0.5, 0.6, 0.7, 0.8, 0.9 and 1.0mm) were evaluated during the spin coating process. The maximum acceptable resist dispense time (the longest time with continuous resist flow for a given amount of resist at a given dispense distance) was determined. It was found that maximum acceptable resist dispense time was affected primarily by dispense nozzle size and material, pump function and resist properties such as viscosity. The relationship between minimum acceptable dispense rate (based on maximum acceptable resist dispense time) and various dispense nozzle sizes has been determined. The minimum acceptable resist dispense rate for a given dispense nozzle size did not appreciably change no matter what resist volume was used. As expected, the results indicated that maximum acceptable resist dispense time increased as dispense volume increased for a given nozzle size. The resist coating thickness mean and range of six resists with viscosity from 2 cp to 30 cp was reported by use of several combinations of resist dispense volume and dispense nozzle size. Resist coating test indicates that resist consumption can be reduced to 0.4 to 0.5 ml per coating with acceptable thickness mean and range for resists of viscosity less than 10 cp, and 0.9 to 1.0 ml per coating for resists with viscosity greater than 10 cp.
Throughput increase by adjustment of the BARC drying time with coat track process
Throughput of a coater module within the coater track is related to the solvent evaporation rate from the material that is being coated. Evaporation rate is controlled by the spin dynamics of the wafer and airflow dynamics over the wafer. Balancing these effects is the key to achieving very uniform coatings across a flat unpatterned wafer. As today’s coat tracks are being pushed to higher throughputs to match the scanner, the coat module throughput must be increased as well. For chemical manufacturers the evaporation rate of the material depends on the solvent used. One measure of relative evaporation rates is to compare flash points of a solvent. The lower the flash point, the quicker the solvent will evaporate. It is possible to formulate products with these volatile solvents although at a price. Shipping and manufacturing a more flammable product increase chances of fire, thereby increasing insurance premiums. Also, the end user of these chemicals will have to take extra precautions in the fab and in storage of these more flammable chemicals. An alternative coat process is possible which would allow higher throughput in a distinct coat module without sacrificing safety. A tradeoff is required for this process, that being a more complicated coat process and a higher viscosity chemical. The coat process uses the fact that evaporation rate depends on the spin dynamics of the wafer by utilizing a series of spin speeds that first would set the thickness of the material followed by a high spin speed to remove the residual solvent. This new process can yield a throughput of over 150 wafers per hour (wph) given two coat modules. The thickness uniformity of less than 2 nm (3 sigma) is still excellent, while drying times are shorter than 10 seconds to achieve the 150 wph throughput targets.
Applying double exposed sharp tip technique (DEST) to characterize material phenomena in DUV photoresist
Double exposed sharp tip (DEST) is produced by a first exposure of a line and space pattern followed by a second exposure of the same pitch with a rotation of 10 degrees. This results in long tapered fingers that cannot be made using a single exposure. The DEST tip size is on the order of the size of standing waves and can be used to examine the trade-off between resist blur and resolution. Experiments of printing DEST on a variety of substrate materials using both Shipley UV210 and IBM APEX-E resist show that DEST shape varies dramatically depending on the underlying materials. A method of characterizing resist surface phenomena based on interpreting DEST structures has been proposed and tested. STORM-3D simulation studies verify the super sensitivity of DEST to non-Fickian acid diffusion and process condition fluctuations as being 10 times more than that of the critical dimension (CD) of the minimum feature size. These numerical investigations show that DEST is suitable for accurate acid diffusion calibration and process monitoring.
Managing effects in CD control from PED and PEB in advanced DUV photomask manufacturing using FEP-171 resist
A continuing improvement in resist process is a necessity for high-end photomask fabrication. In advanced chemically amplified resist systems the lithographic performance is strongly influenced by diffusion of acid and acid quencher (i.e. bases). Beside the resist properties, e.g. size and volatility of the photoacid, the process conditions play important roles for the diffusion control. Understanding and managing these properties influences lithographic characteristics on the photomask such as CD uniformity, CD and pitch linearity, resolution, substrate contamination, clear-dark bias and iso-dense bias. In this paper we have investigated effects on the lithographic characteristics with respect to post exposure bake conditions, when using the chemically amplified resist FEP-171. We used commercially available mask blanks from the Hoya Mask Blank Division with NTAR7 chrome and an optimized resist thickness for the 248 nm laser tool at 3200Å. The photomasks were exposed on the optical DUV (248nm) Sigma7300 pattern generator. Additionally, we investigated the image stability between exposure and post exposure bake. Unlike in wafer fabrication, photomask writing requires several hours, making the resist susceptible to image blur and acid latent image degradation.
Effects of wet-cleans and surface treatments on the adhesion of a photoresist to HDP-oxide substrate
Shih-Chi Fu, Jieh-Jang Chen, Feng-Jia Shiu, et al.
Adhesion of photoresist (PR) on substrate is one of the key issues in photolithography. Poor adhesion force between PR and substrate will cause patterns dislocated or peeled in the TMAH developing or water spin-dry process. This becomes a more serious issue in 130nm and below technology as the defects from poor PR adhesion were much more easily found by using ArF PR than by KrF or I-line ones. Besides, the defect counts of PR peeling were also found much influenced by the wet-cleans of the oxide substrate before photo process. This dependence is less observed in using KrF or I-line PRs. To understand why some wet-cleans cause serious PR lifting and what method would be effective in avoiding this issue, the interaction force between PR and oxide surface by different wet-cleans process were calculated by contact-angle measurement, and the surface state were compared by IR/AFM/XPS. The result indicates the adhesion force for ArF PR and oxide substrate is lowered by some wet-clean methods, and more dangling SiOH bonding, or more hydrophilic properties were also found through surface analysis. The contact-angle measurements may serve as a convenient index of how safety the process is free from adhesion problem. Many advices to avoid the similar event in the process development were summarized in the last.
Study of barrier coats for protection against airborne contamination in 157-nm lithography
Francis Houlihan, Raj Sakamuri, Keino Hamilton, et al.
We summarize our work on devising protective barrier coats for use against airborne contamination when using tert-butoxycarbonylmethyl (BOCME) capped fluoroalcohol resist resins as part of our strategy to develop a 157 nm resist platform. We will describe how a barrier coat (AZ EXP FX Coating 145) consisting of a fluoro-cyclopolymer formulation, soluble in aqueous developer, can improve the post-exposure delay (PED) latitude of 157 nm resist resists exposed under conditions or airborne contamination. Specifically, a 20 nm thick coating of AZ EXP FX Coating 145 gives a PED latitude for L/S features of at least 10 min under condition of airborne amine contamination (10 ppb amine contamination). The barrier coat, AZ EXP FX coating 145 is formulated in a solvent which is compatible with resist film coated from typical 193 nm resist spin casting solvents. Moreover, it can be easily removed as part of the normal aqueous base development scheme, no extra post-apply bake or stripping step is required.
ArF photoresist parameter optimization for mask error enhancement factor reduction
Chang Ho Lee, Seok Han, Kyung Sil Park, et al.
MEEF (Mask Error Enhancement Factor) is the most representative index which CD (Critical Dimension) variation in wafer is amplified by real specific mask CD variation. Already, as it was announced through other papers, MEEF is increased by small k1 or pattern pitch. Illumination system, just like lens aberration or stage defocus affects directly MEEF value, but the leveling or species of substrate and the resist performance are also deeply related to MEEF value. Actually, when the engineers set up the photo process of shrink structure in current device makers, they established minimum shot uniformity target such as MEEF value within wafer uniformity and wafer to wafer uniformity, besides UDOF (Usable Depth of Focus) or EL (Exposure Latitude) margin. We examined MEEF reduction by checking the difference in resist parameters and tried to correlate the results between experiment and simulation. Solid-C was used for simulation tool. The target node was dense L/S (Line/Space) of sub-80 nm and we fix the same illumination conditions. We calculated MEEF values by comparing to original mask uniformity through the optical parameters of each resist type. NILS (Normalized Image Log Slope) shows us some points of the saturation value with pupil mesh points and the aberration was not considered. We used four different type resists and changed resist optical properties (i.e. n, k refractive index; A, B, and C Dill exposure parameters). It was very difficult to measure the kinetic phenomenon, so we choose Fickian model in PEB (Post Exposure Bake) and Weiss model in development. In this paper, we tried to suggest another direction of photoresist improvement by comparing the resist parameters to MEEF value of different pitches.
Global planarization of gap-filling process for low-k dual damascene applications
Planarization of gap-filling materials for low-k dual damascene processes is getting more and more important due to the photoresist process window shrinking as the pitch and critical dimensions shrink. Defects, especially pattern collapses, will become a serious problem if there is no global planarization for low-k dual damascene processes. IC manufacturers and materials vendors have proposed several ways to improve the global planarization of gap filling, such as using materials with different viscosities, fine tuning gap-filling material coating recipes, and even using optical or chemical treatments to obtain global planarization. The effect of the different conformalities of the first and second coating materials on coating performance will be discussed.
Simulation
icon_mobile_dropdown
Profile simulation of SU-8 thick film resist
Yoshihisa Sensu, Atsushi Sekiguchi, Satoshi Mori, et al.
XP SU-8 3000 (hereinafter referred to as “SU-8”) thick-film resist is a chemically amplified negative resist based on epoxy resin. Here, we report on the profile simulation for this resist. Profile simulation is an important technique for planning experiments. Thus, there have been many reports on simulation techniques. In particular, many studies have been conducted on chemically amplified positive resists, as they are major resist materials used in the IC industry. However, there have been few simulation studies concerning chemically amplified negative resists. Under these circumstances, we have considered performing simulations on chemically amplified negative resist. The results of the simulation and the SEM observations are in good agreement. This study demonstrates that simulation is possible for a chemically amplified negative resist (SU-8).
Simulation of thermal resist flow process
In the semiconductor lithography process, the thermal flow process after development resolves the patterning of sub-100 nm contact hole and saves cost problem of resolution enhancement technology. In this study, resist flowing behavior and contact hole shrinkage are described by using the thermal reflow length of the boundary movement method and the analysis of image process. The viscosity variable affects the shrinkage of critical dimension. This variable is extracted from the experimental data by using a proposed equation. Those results have a good agreement with the experimental results in both contact hole size and the vertical wall of profile according to the baking temperature and time. Although the most effective process of the 193 nm chemically amplified resist is the post-expose bake process for critical dimension, the parameter of the development process, the inhibition reaction order of the enhanced Mack model, is shown as the most controllable parameter for critical dimension in thermal reflow process.
Contact hole reflow by finite element method
Thermal reflow process is one of many used processes for pattern shrinkage and resolution enhancement technology. In this study, we try to describe the shrinkage phenomena of linear static in contact hole patterns by using the finite element method. The resist of thermal flow replaces into a circular saw blade for the linear conduction thermal analysis. By using a commercial tool such as I-DEAS, the characteristic parameters of shrinkage and deformations due to thermal reflow are analyzed and compared with the experimental results. Hence, for the linear static phenomena, those mechanical simulations can be shown a good prediction of different contact hole patterns with various pattern sizes and duty ratios. In the last part, we describe the effect of surface tension. In thermal reflow process, the side-wall angle of resist profile is decreased by surface tension. Its phenomena are shown as similar in the spin coating process by modeling a dimensionless parameter in spin coating.
Immersion
icon_mobile_dropdown
Equilibrium water uptake and diffusion behavior in model polynorbornene photoresist polymers
Trevor Hoskins, Paul J. Roman, Peter J. Ludovice, et al.
As 193 nm immersion lithography continues to evolve, the need to understand the effect of the immersing liquid on the resulting photoresist properties continues to grow. With this in mind, the sorption of water (using both liquid and vapor environments) in two model photoresist polymer resins based on functionalized poly norbornene) was examined using quartz crystal microbalance techniques. Similar to the results presented by Berger and coworkers, it was found that the water uptake in bis-trifluoromethyl carbinol substituted polynorbornene (HFAPNB) increases as the polymer molecular weight increases, while the diffusion coefficient of water in these materials remains relatively constant over the same range in molecular weight. In contrast, trifluorosulphonamide-substituted polynorbornene displays a relatively constant level of water uptake as a function of polymer molecular weight, while the diffusion coefficient decreases by more than an order of magnitude over the same molecular weight range. Sorption experiments performed as a function of temperature have shown that the water diffusion in these polynorbornene polymers can be described using an Arrhenius relationship. The activation energy of water diffusion was compared in both HFAPNB and poly(hydroxystyrene). The activation energy for diffusion of water in HFAPNB is substantially larger than in the case of poly(hydroxystyrene). This is consistent with the view that polynorbornenes possess relatively stiff and rigid backbones as compared to more flexible polymers such as poly(hydroxystyrene). The activation energy for water diffusion in HFAPNB was found to be a strong function of polymer molecular weight, with the activation energy decreasing with increasing molecular weight.
Simulation
icon_mobile_dropdown
Characterization of property variation in ultra-thin polymer films from molecular simulation
Molecular simulations of atactic poly(propylene) films were carried out to characterize the general behavior of ultra-thin supported polymer films. Insight into the origin of property changes as a function of film thickness was obtained from these simulations. These property changes are of critical importance in light of the ever decreasing dimensions of polymer constructs in photolithography. These simulations were focused on the seemingly contradictory results obtained from experimental measurements. Specifically, the observed decrease in the glass transition temperature (Tg) seems to be explained by increased mobility at the free surface of simulated films, but this explanation contradicts the observed decrease in diffusivity with decreasing film thickness. The simulations, like previous simulations, indicate that increased mobility at the free film surface occurs on a length scale commensurate with the decrease in Tg. However, a redistribution of the fractional free volume (FFV) also occurred in the simulated films as a function of film thickness. These results suggest that the FFV distribution becomes more homogeneous as films become thinner. This reduces the amount of FFV accessible to various diffusing molecules and may explain the observed decrease in diffusivity that occurs as film thickness decreases. Both the simulated redistribution of FFV and the observed decrease in diffusivity occur on a larger length scale than simulated mobility changes and the associated decrease in Tg. The theory that a redistribution of FFV is the origin of the observed behavior of diffusivity as a function film thickness is a new one that ultimately requires further validation from Positron Annihilation Lifetime Spectroscopy experiments and more extensive film simulations.
Resist Fundamentals
icon_mobile_dropdown
Effect of film composition on the performance of interdigitated electrode methods used for chemically amplified photoresist characterization: methods for analyzing photoresist materials containing base quencher
Previously, a method which utilizes interdigitated electrode (IDE) sensors to collect capacitance versus exposure dose data for thin films containing a photoacid generator (PAG) and polymer and subsequently calculate the Dill C photoreaction rate constant for the photoacid generator has been presented. This paper discusses a method for extending such IDE methods to calculate the Dill C rate constant for a photoacid generator in a film containing a polymer, photoacid generator, and base quencher. This three component formulation more closely resembles the composition of commercial chemically amplified photoresists. It is shown that by using a data normalization approach, the IDE data can be successfully analyzed to compute accurate Dill C values for a PAG in the presence of base quencher and to estimate the concentration of base quencher in the film. The technique also thus allows for measurement of the impact of the presence of base quencher on the photoreaction rate constant of the photoacid generator.
Pattern Collapse/Defectivity
icon_mobile_dropdown
Reduction of line edge roughness and post resist trim pattern collapse for sub 60 nm gate patterns using gas-phase resist fluorination
Resist pattern edge roughness is expected to cause degradation of transistor performance as gate lengths shrink below 40 nm. In the literature line edge roughness (LER) has been linked to many optical and chemical variables associated with the lithography process. As resist trim etch becomes more aggressive over time, LER on etched gates becomes less linked to the roughness in resist, and more to a product of the coupled lithography and etch processes. The aspect ratio of trimmed resist features increases and patterns become susceptible to pattern collapse, bending and tearing. Conversely if aspect ratios are maintained through the trim process, then the ability of the resist to protect the substrate from the final etch is degraded as the resist thickness decreases. A novel method of resist fluorination is presented that significantly reduces LER and pattern deformations such as collapse, tearing and bending. Experimental data shows that resist fluorination can make possible sub-30 nm etched polysilicon gates at aspect ratios on the order of 5:1. The same fluorination process yields LER improvements of 15% to 20% on average with largest improvements in the mid-range roughness frequencies of 10 - 50 μm-1. The length scale, or inverse of frequency, is also used in the study. The resist fluorination process is described as it is used in the study. Experimental and analytical data show how the process is reduced to practice and how LER and pattern deformation are improved. The fluorination process is simple to integrate into a standard wafer flow, has low cost of ownership, and yields large process improvements.