Proceedings Volume 5752

Metrology, Inspection, and Process Control for Microlithography XIX

cover
Proceedings Volume 5752

Metrology, Inspection, and Process Control for Microlithography XIX

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 10 May 2005
Contents: 15 Sessions, 148 Papers, 0 Presentations
Conference: Microlithography 2005 2005
Volume Number: 5752

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Process Control I: OPC/RET
  • SEM/Scaterometry for Critical Dimension Metrology
  • Process Control I: OPC/RET
  • Contributors to Overlay, Causes of Registration Errors
  • SEM/Scaterometry for Critical Dimension Metrology
  • Optical Metrology
  • Poster Session
  • Process Control II: OPC/RET
  • CD Measurement and Reference Systems/Comparisons
  • Hardware and Technique Development
  • Overlay Tool and Mark Development
  • Line-Edge Roughness, SEM Modeling
  • Integrated Metrology/Design
  • Line-Edge Slimming, Critical Dimension
  • Poster Session
  • Line-Edge Slimming, Critical Dimension
  • Mask-Related Metrology/Defect Analysis
  • CD Uniformity Control: Joint Session with Conf. 5755
  • Advanced Process Control: Joint Session with Conf. 5755
  • CD Measurement and Reference Systems/Comparisons
  • Poster Session
  • Process Control I: OPC/RET
  • Poster Session
  • Process Control I: OPC/RET
  • Poster Session
  • Line-Edge Slimming, Critical Dimension
  • Poster Session
  • Integrated Metrology/Design
  • Poster Session
  • CD Measurement and Reference Systems/Comparisons
  • Poster Session
  • Line-Edge Slimming, Critical Dimension
  • Poster Session
  • Process Control II: OPC/RET
  • Poster Session
Process Control I: OPC/RET
icon_mobile_dropdown
In-line-focus monitoring technique using lens aberration effect
Tomohiko Yamamoto, Toshio Sawano, Teruyoshi Yao, et al.
Process windows have become narrower as nano-processing technology has advanced. The semiconductor industry, faced with this situation, has had to impose extremely severe tool controls. Above all, with the advent of 90-nm device production, demand has arisen for strict levels of control that exceed the machine specifications of ArF exposure systems. Consequently, high-accuracy focus control and focus monitoring techniques for production wafers will be necessary in order for this to be achieved for practical use. Focus monitoring techniques that measure pattern placement errors and resist features using special reticle and mark have recently been proposed. Unfortunately, these techniques have several disadvantages. They are unable to identify the direction of a focus error, and there are limits on the illumination conditions. Furthermore, they require the use of a reticle that is more expensive than normal and they suffer from a low level of measurement accuracy. To solve these problems, the authors examined methods of focus control and focus error measurement for production wafers that utilize the lens aberration of the exposure tool system. The authors call this method FMLA (focus monitoring using lens aberration). In general, astigmatism causes a difference in the optimum focal point between the horizontal and vertical patterns in the same image plane. If a focus error occurs, regardless of the reason, a critical dimension (CD) difference arises between the sparse horizontal and vertical lines. In addition, this CD difference decreases or increases monotonously with the defocus value. That is to say, it is possible to estimate the focus errors to measure the vertical and horizontal line CD formed by exposure tool with astigmatism. In this paper, the authors examined the FMLA technique using astigmatism. First, focus monitoring accuracy was investigated. Using normal scholar type simulation, FMLA was able to detect a 32.3-nm focus error when 10-mλ astigmatism was present. Furthermore, we verified that it was possible to experimentally detect a 20-nm focus error for gate layer of 90-nm logic devices. In tilt error evaluation, the estimated tilt error value was separated by 0.3-ppm from the input value into exposure tool parameters. Finally, when FMLA was applied to gate layer of 90-nm logic devices, inter lot distribution was decreased from 6.8-nm to 2.8-nm, and it was proved that FMLA using astigmatism was an effective method in device manufacturing.
Novel methodology of employing scatterometry to assess optical proximity correction test pattern
While optical lithography is being pushed to its limits, there is a general concern as to which metrology tool is more suitable for inspection of new generation devices. Scatterometry is one of the few types of metrology that has true in-situ potential for deep submicron critical dimension and profile analysis. Physical metrology is the key element in maintaining adequate and affordable process latitude in lithography processing. Accurate metrology is needed for characterizing and monitoring the processing states, such as exposure, focus, post-exposure bake (PEB), critical dimension (CD) resolution, and uniformity. In addition, scatterometry is a good candidate tool to obtain data necessary to perform model-based optical proximity correction (OPC). However, it is unknown as to current scatterometry provides necessary sensitivity to yield results acceptable for OPC usage. In this paper, we have utilized scatterometry to measure test patterns used in a model-based OPC and performed OPC on DRAM bitline core and periphery adjoining region then, its results are compared to those model-based OPC performed using data obtained from CD-SEM and V-SEM. In doing so, we have attempted to obtain an ideal model which provides best performance in context of OPC. Furthermore, we have discussed 1-D and 2-D types of test patterns that are acceptable for OPC purpose and provided the verification results for each model using commercially available software.
SEM/Scaterometry for Critical Dimension Metrology
icon_mobile_dropdown
Influence of focus variation on linewidth measurements
Maki Tanaka, John S. Villarrubia, Andras E. Vladar
The influence of spatial resolution on linewidth measurements in the critical dimension scanning electron microscope (CD-SEM) was investigated experimentally. Measurement bias variation and measurement repeatabilities of four edge detection algorithms were evaluated with a series of images at varying focus in order to determine the effect of resolution variation. Three of these algorithms, maximum derivative, regression to baseline, and sigmoidal fit, are commonly used on commercial CD-SEMs, and the other is a model-based library (MBL) approach that detects the line edge by comparing CD-SEM line scans to a library of simulated line scans. MBL is able to take into account beam size and other parameters (including sidewall angle of the line structure). These algorithms were applied to images of polycrystalline silicon lines with various sidewall angles taken under different focus conditions. In general, it was observed that repeatability is degraded by defocus, and bias varied with focus and target shape. These results indicate that if two or more tools had different resolutions, measurement results would have different biases depending on target shape. The amounts of errors depend on the algorithms, with MBL the most stable against focus variation. However, it still has some systematic errors and outliers far from best focus. Investigations of electron distributions and the effect of electron incident angle were performed for a better model.
Process Control I: OPC/RET
icon_mobile_dropdown
Wafer current measurement for process monitoring
Dmitry Shur, Alexander Kadyshevitch, Jeremy Zelenko, et al.
Wafer Current Measurement (WCM) is an emerging technique for in-line process monitoring. A joint development project (JDP) has been conducted by Infineon Technologies and Applied Ma-terials (Process Diagnostics and Control Group). The main goal of this project was development of applications for the WCM technique in production environment and specifically for state of the art DRAM Infineon process. A new generation of SEM review tool with integrated FIB (Ap-plied SEMVision G2 FIB Defect Analysis system) was used for this work. A challenging layer approached in this work was the DTMO (Deep Trench Mask Open) which serves as a hard mask for subsequent deep trench (DT) capacitor formation in a silicon substrate. The aspect ratio of the openings in the DTMO layer can be as high as 20:1. As a result of the aggressive aspect ra-tio and sub-100 nm CDs the only available techniques for evaluating DTMO etch integrity (pos-sible under-etch and/or bottom CD variation) are destructive analysis methods. As a result of the extensive JDP, crucial yield limiting problems such as dielectric or/and stop layer under-etch as well as bottom CD violation have been revealed by the WCM in-line rather than by cross-sectioning in failure analysis laboratory or other destructive means. Besides, on the basis of bottom CD sensitivity of the WCM technique, etch chamber qualification (including matching and adjustment) feasibility was conducted. The motivation behind this is that chamber qualification is essential to shorten cycle time. In production environment the WCM technique is targeted for two basic applications: process monitoring including excursion control and early etch process drift warning and in-line etch chamber qualification. WCM "pilot" has been performed in production after DTMO for four novel DRAM products with CD down to 70 nm.
Contributors to Overlay, Causes of Registration Errors
icon_mobile_dropdown
In field overlay uncertainty contributors
In this publication, the contributors to in-field overlay metrology uncertainty have been parsed and quantified in a specific case study. Particular focus is placed on the unmodeled systematics, i.e. the components which contribute to residuals in a linear model after removal of random errors. These are the contributors which are often the most challenging to quantify and are suspected to be significant in the model residuals. The results show that even in a relatively "clean" front end process, the unmodeled systematics are the dominant residual contributor, accounting for 60 to 70% of the variance. Given the above results, new sampling and modeling methods are proposed which have the potential to improve the accuracy of modeled correctibles and lot dispositioning parameters.
Performance study of CD mark size for angular scatterometry
Yi-sha Ku, Ding-Sheng Pan, Shih-Chun Wang, et al.
We report a study of the effect of target size on CD measurement by angular scatterometry in two ways. One is reducing the spot size (say to 40 mm) to permit the use of a smaller target; another is to overfill the target. Starting with standard grating targets of 80 x 80 mm size, with fixed CD 400 nm and LS (Linewidth to Spacing) ratio 1:1, test gratings have been designed with X and Y dimensions varied from 80 to 10 mm in 10 mm intervals. We show how the scattering signatures are influenced by the varying target sizes and spot sizes especially when the target grating is overfilled. The errors in CD measurements caused by the target and spot size variations are also quantified. Working with an overfilled small grating target and filtering out the specular noise offers a promising way to present the scattering signal from diffraction. An empirical model to predict the scattering signatures as a function of target size is under development.
High-resolution optical metrology
R. M. Silver, R. Attota, M. Stocker, et al.
Recent advances in optical imaging techniques have unveiled new possibilities for optical metrology and optical-based process control measurements of features in the 65 nm node and beyond. In this paper we discuss methods and applications that combine illumination engineering and structured targets which enable sensitivity to nanometer scale changes using optical imaging methods. These methods have been investigated using simulation tools and experimental laboratory apparatus. The simulation results have demonstrated substantial sensitivity to nanometer changes in feature geometry. Similar results have now been observed in the laboratory. In this paper we will show simulation data to motivate the use of low numerical aperture and structured illumination optical configurations. We will also present the basic elements and methods which we are now using in the design of an optical tool specifically designed for these types of measurements. Target configurations which enhance the scattered electromagnetic fields will be shown along with experimental verification of the methodology. The simulation and experimental apparatus is used to explore and optimize target geometry, optical configurations, and illumination structure for applications in both critical dimension and overlay metrology.
Identifying sources of overlay error in FinFET technology
In recent years as transistor gate lengths are driven to 50nm and below, several new advanced transistor architectures have been introduced. These transistor structures require the use of distinctly different materials and process technology, each of which imposes new challenges. In the early development phase of our FinFET technology, which utilizes Silicon On Insulator (SOI), very large overlay errors were observed. These overlay errors could be as much as ten times larger than the overlay capability of the state of the art exposure tools used. Traditionally when analyzing such overlay errors we characterize the systematic or correctable components and the residual errors. The modeling of these overlay errors in terms of grid and intrafield components is well understood and provides an extremely effective means of detecting registration errors associated with the exposure tools. Nevertheless, when large overlay errors are observed, the tendency remains to suspect that the exposure tool is the cause of the overlay errors. In these situations alignment often and very quickly becomes the focus of attention. By using experimental splits and appropriate analysis techniques, we were able to identify the specific process steps and equipment responsible. This allowed those process steps to be replaced by alternative integration strategies, before the technology was finalized. By detection of these errors early in the development phase and by working closely with integration, a well characterized process, eliminating FinFET material and process induced overlay errors can be achieved. In this paper we report on the methodology used and show that overlay performance can be achieved consistent with the capabilities of the state of the art exposure tools used.
Study of segmented overlay mark fidelity based on electrical property of device
Akiyuki Minami, Sachiko Yabe, Takashi Nasuno, et al.
Pattern placement error (PPE) of device pattern and overlay mark does not necessarily coincide. So it is important to measure PPE of device pattern accurately for optimizing overlay mark design. But it has been hard. To resolve this problem a new method has been developed. As a device pattern via chain pattern is used that consists of 1st metal, via, and 2nd metal layers. The electrical resistance is almost determined by the contact area of metal and via which depend on their mutual overlay. Since the resistance is inversely proportional to the contact area, the resistance changes sharply as the overlay error becomes large. With the characteristic the fine measurement accuracy of about 1nm has been gotten. We evaluate the relation of PPE between the device pattern and various types of overlay marks such as box in box mark and device size-segmented mark on 65nm node Cu interconnect. As a result, it has been confirmed that the device size-segmented mark most represents the PPE of device pattern at various aberrations.
Abnormal patterning analysis using actual lens and illumination source data
Jongkyun Hong, Jeonkyu Lee, Eunsuk Kang, et al.
As the minimum feature size shrinks down, i.e. low K1 lithography regime, the tool’s lens aberration sensitivity and user defined illumination imperfection might play a major role in patterning error. Thus, the study of impact from lens aberration and illumination on patterning is required for good tool maintenance and yield improvement. For this purpose, we collected many cases of abnormal patterning result from production line and then simulated in terms of actual lens aberration and illumination source data. LITEL products of ISI(In-situ Interferometer) and SMI(Source Metrology Interferometer) were used for characterizing lens and illumination source. Moreover, the ACE(Analysis and Characteristic Engine) of LITEL development product was used as the simulator. In this work, deformation of pattern fidelity, for example, CD asymmetry in word line and metal contact layer, pattern bending in isolation layer and also decreasing process window in bit line layer will be discussed with experimental and simulation data. Finally, we are able to make a guideline for preventing abnormal phenomenon. From this study, we can understand which lens aberration terms and illumination imperfection take an effect of abnormal pattering result.
SEM/Scaterometry for Critical Dimension Metrology
icon_mobile_dropdown
CD SEM metrology macro CD technology: beyond the average
Benjamin D. Bunday, Di K. Michelson, John A. Allgair, et al.
Downscaling of semiconductor fabrication technology requires an ever-tighter control of the production process. CD-SEM, being the major image-based critical dimension metrology tool, is constantly being improved in order to fulfill these requirements. One of the methods used for increasing precision is averaging over several or many (ideally identical) features, usually referred to as "Macro CD". In this paper, we show that there is much more to Macro CD technology- metrics characterizing an arbitrary array of similar features within a single SEM image-than just the average. A large amount of data is accumulated from a single scan of a SEM image, providing informative and statistically valid local process characterization. As opposed to other technologies, Macro CD not only provides extremely precise average metrics, but also allows for the reporting of full information on each of the measured features and of various statistics (such as the variability) on all currently reported CD SEM metrics. We present the mathematical background behind Macro CD technology and the opportunity for reducing number of sites for SPC, along with providing enhanced-sensitivity CD metrics.
The role of AFM in semiconductor technology development: the 65 nm technology node and beyond
Vladimir A. Ukraintsev, Christopher Baum, Gary Zhang, et al.
The International Technology Roadmap for Semiconductors (ITRS) predicts that atomic force microscopy (AFM) will become an in-line metrology tool starting at the 65 nm technology node. Others argue that AFM is not suitable beyond the 65 nm node due to probe size limitations. This presentation examines the current state of AFM in semiconductor technology development and manufacturing. The following AFM applications are reviewed: post chemical mechanical polishing (post-CMP) and post reactive ion etching (post-RIE) topography measurements, critical dimension (CD) scanning electron microscopy (SEM) and optical scatterometry (OCD) calibration and long-term accuracy monitoring, across integrated circuit (IC) CD bias measurements (OCD lines vs. real circuit), optical proximity correction (OPC) modeling verification, non-destructive 3D metrology (resist, gate, sidewall offsets, holes and trenches). This current state is contrasted with upcoming requirements, benefits and limitations of metrology tools. The topics include the following: an application specific analysis of AFM limitations, the merits and limitations of transmission electron microscopy (TEM) as reference technique for AFM, CD SEM and OCD, the impact of sample-to-sample bias variation on total measurement uncertainty of TEM, CD SEM, OCD and AFM, the unique role of AFM in establishing across CD metrology correlation and accuracy, and need for a new type of intelligent in-line CD metrology tools, which would combine the merits of OCD, CD SEM and AFM.
90nm technology contact CD performance characterization via ODP scatterometry
Contact hole lithography presents a variety of challenges for process development. Measurement of the bottom of the hole presents the most difficulty, and metrology error has traditionally been much larger for contact (3D) metrology than for line/space structures. In light of process windows being significantly smaller for contact holes than for line/space structures, it is difficult to maintain good Contact CD characterization of novel methods requires CD correlation to existing metrology tools including CD linearity across a range of pitches and target CDs. In this paper, we will present contact CD linearity results as characterized by integrated ODP scatterometry, where measurements of hole CD and profile have been made following the lithography process, in a method nondestructive to the 193nm resist pattern on the wafer. The CD linearity is characterized for a 90nm technology device film stack of patterned photoresist (PR), bottom anti-reflective coating (BARC), oxide, and SiC on top of a silicon (Si) substrate. The pattern densities range from dense to semi-dense to isolated, and the grating structures include circular holes aligned in an orthogonal pattern on the wafer. Measurement stability results are also shown, and correlation to CD-SEM and cross-section SEM is provided as a reference metrology. The results of the experiment show that ODP can be used successfully to not only characterize contact CD linearity, but to also monitor film thickness and profile variation, providing a valuable solution for contact hole process development.
AFM measurement of linewidth with sub-nanometer scale precision
Satoshi Gonda, Kazuto Kinoshita, Hironori Noguchi, et al.
A critical-dimension atomic force microscope system equipped with an ultra-high resolution, three-axis laser interferometer was constructed and tested. The MIRAI (Millennium Research for Advanced Information Technology) project has been improving the precision of critical dimension measurements with atomic force microscopy (AFM) by implementing modularized laser interferometers, to meet requirements for dimensional measurement in 45 nm technology node. The stability of the cross-sectional profile of an AFM image for a rectangular cross-section was greatly improved by optimizing interferometer linearity and resolution with DSP signal processing and reducing the angular motion and mechanical vibration of the monolithic three-dimensional probe scanner with a unique parallel spring mechanism. The repeatability of linewidth measurement of a nominal 100 nm linewidth along the same scanned line showed a standard deviation of 0.5-1.0 nm (3-sigma). This shows AFM to be one of the most promising metrological tools for next-generation nanodevice fabrication processes. Instrumentation, measurement results, and precision will be discussed.
Optical Metrology
icon_mobile_dropdown
Coordinate transformation method for the solution of inverse problem in 2D and 3D scatterometry
For scatterometry applications, diffraction analysis of gratings is carried out by using Rigorous Coupled Wave Analysis (RCWA). Though RCWA method is originally developed for lamellar gratings, arbitrary profiles can be analyzed using staircase approximation with S-Matrix propagation of field components. For improved accuracy, more number of Fourier waves need to be included in Floquet-Bloch expansion of the field components and also more number of slices are to be made in staircase approximation. These requirements increase the time required for the analysis. A coordinate transformation method (CTM) developed by Chandezon et. al renders the arbitrary grating profile into a plane surface in the new coordinate system and hence it does not require slicing. This method is extended to 3D structures by several authors notably, by Harris et al for non-orthogonal unit cells and by Granet for correct Fourier expansion. Also extended is to handle sharp-edged gratings through adaptive spatial resolution. In this paper, an attempt is made to employ CTM with correct Fourier expansion in conjunction with adaptive spatial resolution, for scatterometry applications. A MATLAB program is developed, and thereby, demonstrated that CTM can be used for diffraction analysis of trapezoidal profiles that are typically encountered in scatterometry applications.
Novel inspection technology for half pitch 55 nm and below
Takeo Omori, Kazuhiko Fukazawa, Toru Mikami, et al.
In the automatic macro inspection, a diffraction light method is very effective. However, this method needs a shorter wavelength illumination for finer wafer patterns. A wavelength of 193 nm will be needed for half pitch 55 nm. Light source and optics for such shorter wavelength is large and expensive, and chemical clean environment is needed. Therefore, the equipment size and costs will increase dramatically. In order to solve this problem and to comply with the process of half pitch 55 nm and below, we have developed the breakthrough technology. The key is the image of polarization fluctuation caused by a wafer pattern structure. The polarized light is affected by the variation of the wafer pattern structure due to a dose or focus shift. The new technology converts the polarization fluctuation into the gray level of the image. At a result, the sensitivity for the dose or focus shift was enough to detect process errors.
Improved thin film model for overlay metrology
Meeting the stricter overlay measurement error requirements of next-generation lithography is a challenge to conventional optical metrology solution associated with bright-field microscopy. A modified thin film model was developed to simulate the optical image intensity profile from novel overlay targets with design rule features. The image is calculated based on diffraction theory, which is simpler than the rigorous application of Maxwell’s equations in three dimensions. The model is matched to the image by adding the contributions from all of the patterned regions in the target, and multiplying by a complex reflectance transfer matrix, which embodies all of the material characteristics. The overlay error in the target and the optical configuration parameters are modified to find the best fit between the image and the model. Although this method makes several assumptions about the formation of an image, very close agreement between the model and the image is obtained.
Optical Fourier transform scatterometry for LER and LWR metrology
P. Boher, J. Petit, T. Leroux, et al.
We present an innovating instrument based on optical Fourier transform (OFT) capable to measure simultaneously the specular and non specular diffraction pattern of sub-micronic periodic structures. The sample is illuminated at fixed wavelength (green laser) versus a large angular aperture both in incidence (0 to 80°) and azimuth (0 to 180°). In the present paper we focus on the possibility to measure line edge roughness (LER) and line width roughness (LWR) using this new technique. To understand the problem, different gratings with artificial periodic LER and LWR roughness have been fabricated and characterized precisely by atomic force microscopy (AFM). Different light scattering measurements have been performed using the OFT instrument with different illuminations in order to understand precisely the optical behavior of these systems. We show that we can distinguish LER and LWR by measuring simultaneously the diffracted contributions coming from the grating and from the periodic roughness. In phase LER with small LWR does not give first order diffraction contribution for the periodic roughness. In contrast, LER in opposite phase with large LWR gives a strong contribution for the first order of diffraction of the periodic roughness. In any case, the sensitivity to LER and LWR is better than 5nm for 500nm period gratings measured at 532nm. This result can be extended to samples with real LER and LWR. It shows without ambiguity that simultaneous measurement of the specular and diffracted light diffraction patterns is necessary to extract separately the two parameters.
Application of spectroscopic scatterometry method in hole matrices analysis
R. Quintanilha, J. Hazart, P. Thony, et al.
This paper focuses on the capability of the spectroscopic scatterometry method to determine holes features parameters from experimental 3D-target. Scatterometry uses optical tools for spectra recording as ellipsometer form KLA TENCOR and a MMFE (Modal Method of Fourier Expansion) software tool including an advanced electromagnetic simulator and an optimization loop for data extraction. This study reports on 3D-MMFE regression of different dense holes square and rectangular matrix structures on the simplest structure-resist on silicon-to extract diameter, height of the holes. The holes diameter is from 90nm to 500nm, and the duty ratio is from 1:1 to 2:2 (CD/Space). To be close to real production stack the same matrices have been studied on more complex stack (close to via level with different dielectric material: FSG, dense SiOC). Finally this study is focused on an analysis on simulation and experiment of the relative sensitivity position of a hole inside the basic element of diffraction. That shows the possibility of scatterometry measurement in detecting via shift.
Characterization of gratings by Mueller polarimetry in conical diffraction
Antonello De Martino, Tatiana Novikova, Sami BenHatit, et al.
Spectroscopic Ellipsometry is widely used to characterize 1D gratings in the usual planar diffraction geometry, with grating grooves perpendicular to the incidence plane. The Jones matrix of the grating is then diagonal, and it can be measured properly by any conventional ellipsometer. On the other hand, if the grating is measured at different azimuthal angles, i.e. in conical diffraction geometries, its Jones matrix is no longer diagonal. As a result, additional information is available about the grating groove shape, but a generalized ellipsometer, or a Mueller polarimeter, are necessary to retrieve this information completely. We used this approach with photoresist gratings deposited on Si for nominal CDs down to 70 nm. The instrument was an original Mueller polarimeter based on ferroelectric liquid crystals, and operated in the visible. The measured spectra were fitted with a RCWA code suitable for conical diffraction, with rectangular and trapezoidal profiles. This approach proved to greatly reduce the parameter correlations observed with standard SE for very small CDs. The relevance of the trapezoidal profile can be established, and top and bottom CDs can be "disentangled" much more easily than with standard planar diffraction geometry.
Poster Session
icon_mobile_dropdown
Usage of profile information obtained with scatterometry
Scatterometry, a non-destructive optical metrology, provides information on cross-sectional pattern profiles, including pattern height, sidewall angle and linewidth. Compared with other non-destructive metrology tools, such as the atomic force microscope (AFM) and CD-SEM, scatterometry offers the advantages of high throughput and superior repeatability. We have applied scatterometry to the monitoring of the depth of Shallow Trench Isolation (STI) for the analysis of complicated stack. We obtained sufficient measurement accuracy by optimizing a model. In addition, we propose the application of scatterometry to post-lithography monitoring for advanced process control (APC). A regression model was established to derive effective dose and focus from the change of photoresist profile monitored by means of scatterometry. In our experiment using an ArF scanner, we obtained sufficient measurement repeatability of dose and focus.
Process Control II: OPC/RET
icon_mobile_dropdown
Immersion scatterometry for improved feature resolution and high speed acquisition of resist profiles
Fred L. Terry Jr., Joseph J. Bendik
Specular-mode spectroscopic scatterometry is currently being used as an in-line metrology tool for wafer-to-wafer process monitoring and control in lithography and etch processes. Experimental real-time, in situ demonstrations of critical dimension monitoring and control have been made for reactive ion etching. There have been no similar demonstrations of real-time control in the critical step of resist development. In this paper, we will show the results of a simulation study on the use of scatterometry in an immersion mode both to improve resolution and to act as a real-time monitor for photoresist topography evolution during development. We have performed realistic simulations of the experimental performance by using Prolith to generate developing resist profiles vs. time and a rigorous couple wave algorithm (RCWA) simulator (modified to include the immersion ambient) to generate simulated scatterometry data. We have examined several modes of operation of the proposed measurement including specular and 1st order scattered modes using spectroscopic ellipsometry and reflectometry. For our simulations, we have used pure water to approximate the developer refractive index. We have created realistic simulation data by adding appropriate amounts of random noise to perfect simulations, and then used regression analysis to extract profiles from these data. Water immersion increases feature shape resolution for small period gratings by increasing the scattering into real diffracted modes.
New comprehensive metrics and methodology for metrology tool fleet matching
Measurement matching among metrology tools to the level of the precision specifications in the ITRS is highly desirable. A quantitative measure of this matching should combine single tool precision, tool-to-tool nonlinearities, and tool-to-tool offsets. In this paper we introduce Tool (under test) Matching Precision (TMP) and Fleet Measurement Precision (FMP) as these "bottom-line" metrics. TMP measures a single tool matching performance against a similar benchmark tool, while FMP measures the performance of the entire fleet. The paper describes a technique to diagnose both the tool under test and the fleet of tools when these bottom-line metrics do not meet the required specifications. Additionally, the exercise of assessing the matching of two tools or a fleet of tools requires a methodology that identifies and constructs a set of desirable artifacts, handles measurement induced sample damage, and provides a set of diagnostic metrics to aid in identifying root causes of matching problems. The methodology can be used for many different metrology systems. This case study will revolve around simulated data and actual data acquired from CD SEMs.
Metal etcher qualification using angular scatterometry
Igor Jekauc, Jasen Moffitt, Sushil Shakya, et al.
The deployment of angular scatterometry as a powerful and effective process control methodology has recently included the measurement of etched metal features in a typical complex Aluminum stack. With the control of metal process steps taking a more critical role in silicon manufacturing, a fast, reproducible and accurate methodology for measuring CD and depth is necessary. With the half-pitch of the metal pattern being as low as the minimum device feature, etch rate measurements on above-micron test structures are hardly indicative of the pattern-dependent etch profiles and behavior. Angular scatterometry offers a non-destructive, fast and powerful approach for measuring the profiles of the yield-relevant array features in metal applications. In this work we demonstrate the application of angular scatterometry to the qualification of metal etchers. Etch depth is difficult to control and must be inspected with slow techniques such as profilometry. In addition to the slow response time and sparse radial sampling, contact profilometry is susceptible to residual resist and polymer residue as well as to the variations in the TiN ARC layer affecting the measurement of the Aluminum etch rates. We show that the choice of a suitable profile model and accurate knowledge of the optical properties allow scatterometry to overcome all of these traditional challenges. We demonstrate that angular scatterometry is sensitive to the parameters of interest for controlling metal etchers, specifically etch depth, CD and profile. Across an experimental design that introduced intentional variations in these parameters, angular scatterometry results were able to track the variations accurately. In addition, profile results determined through scatterometry compare favorably with cross-sectional SEM images and measurements. Measurement precision results will also be presented.
CD Measurement and Reference Systems/Comparisons
icon_mobile_dropdown
Comparison of scatterometry, atomic force microscope, dual beam system, and XSEM to measure etched via depths
Open vias can be a significant yield loss due to the difficulty in detecting them. In-line monitoring of via depths on product wafers is one approach to minimize this problem. Atomic force microscopes (AFMs) have been the primary choice for this, but using the AFM to measure the depths of the high aspect ratio vias of today’s advanced chips is extremely difficult in production. Scatterometers are another in-line choice for measuring product wafers, but the many underlayers and 3D nature of vias make implementation of this metrology challenging and, so far, largely untested. A different way to detect open vias is through the use of patterned etch tool monitor wafers containing a thick layer of inter-level dielectric (ILD). Destructive XSEM measurements can be used to measure via depths on such wafers. But between transfer of the wafer from the fab to the lab, sample preparation time, imaging time, and communication of the final results from the lab back to the fab, several hours are lost. During this time the etch tool is not qualified to process wafers, and so productivity is reduced. Because of this long delay and the cost of performing XSEMs, three in-line methods were evaluated as potential candidates to replace XSEM metrology. These methods used state-of-the-art equipment and included scatterometry, AFM, and a dual beam system (a combination of an SEM and a Focused Ion Beam (FIB)). A new type of Reference Measurement System (RMS), combining results from multiple measurement systems, is introduced. This new method is used to evaluate the quality of the results from the different systems. Results show that the scatterometer, AFM, and dual beam system performed well. XSEM metrology was found to be more inaccurate than expected at measuring via depths.
Specifications, methodologies, and results of evaluation of optical critical dimension scatterometer tools at the 90nm CMOS technology node and beyond
The Advanced Metrology Advisory Group (AMAG) is a council composed of the chief CD-metrologists from the SEMATECH consortium's Member Companies and from the National Institute of Standards (NIST). The AMAG wrote, with OCD tool supplier involvement, the "Unified Advanced Optical Critical Dimension (OCD) Scatterometer Specification for Sub-90nm Technology (2004 Version)" [22] to be a living document which outlines the required performance of OCD tools for supplier compliance to the 2003 International Technology Roadmap for Semiconductors (ITRS) and which conveys Sematech member companies' collective needs to vendors. Using this specification, evaluation efforts of currently available tools are being performed. The 2004 AMAG Unified Specification for Scatterometry includes sections outlining the test methodologies, metrics, and wafer-target requirements for each parameter to be benchmarked, and, if applicable, prescribes a target specification compatible with the ITRS. The methodologies are valid for the demands of the 90nm technology node and beyond. Parameters to be considered include: Precision, Repeatability and Reproducibility Accuracy System Matching Noise and Spectral Sensitivity Throughput Interaction with sample Measurement of material optical properties (n & k) Pattern recognition/stage navigation accuracy Specular Beam Width ("spot size") Cost of ownership (COO) When possible, the metrics in this specification have been made compatible with similar specifications in the AMAG CD-SEM Unified Specification so that fair intercomparisons of different tools can be made. Previous CD-SEM studies under this same project have been published, with the initial version of the International SEMATECH CD-SEM Unified Specification in 1998, and multi-supplier CD-SEM benchmarks in 1999, 2001 and 2003.
CD-AFM reference metrology at NIST and SEMATECH
Ronald Dixson, Joseph Fu, Ndubuisi Orji, et al.
The National Institute of Standards and Technology (NIST) and SEMATECH have been working together to improve the traceability of critical dimension atomic force microscope (CD-AFM) dimensional metrology in semiconductor manufacturing. A major component of this collaboration has been the implementation of a Reference Measurement System (RMS) at SEMATECH using a current generation CD-AFM. An earlier tool, originally used at SEMATECH, has now been installed at NIST. Uncertainty budgets were developed for pitch, height, and CD measurements using both tools. At present, the standard uncertainties are approximately 0.2 % for pitch measurements and 0.4% for step height measurements. Prior to the current work, CD AFM linewidth measurements were limited to a standard uncertainty of about 5 nm. However, this limit can now be significantly reduced. This reduction results from the completion of the NIST/SEMATECH collaboration on the development of single crystal critical dimension reference materials (SCDDRM). A new generation of these reference materials was released to SEMATECH Member Companies during late 2004. The SEMATECH RMS was used to measure the linewidths of selected features on the distributed specimens. To reduce the uncertainty in tip width calibration, a separate transfer experiment was performed in which samples were measured by CD-AFM and then sent for high resolution transmission electron microscopy (HRTEM). In this manner, CD-AFM could be used to transfer the HRTEM width information to the distributed samples. Consequently, we are now able to reduce the limit on the standard uncertainty (k = 1) of CD-AFM width measurements to 1 nm.
A comprehensive comparison of spectral scatterometry hardware
Kevin Lensing, Broc Stirton, Brian Starnes, et al.
In this paper, three different types of spectral scatterometry hardware are compared using Timbre Technologies' Optical Digital Profiler (ODP) as a common software platform. The hardware under consideration includes a spectroscopic reflectometer (R), polarizing spectroscopic reflectometer (RP) and a spectroscopic ellipsometer (SE). Four advanced lithographic applications are evaluated-two from Spansion's 110-nm Flash memory technology line, and two from AMD's 90-nm logic process. ODP models are developed and optimized for each application and each type of hardware. Results include static and dynamic repeatability, throughput, correlation to incumbent metrology and correlation to cross-section. For each application, the authors also attempt to determine the level of model complexity supported by each hardware type, with special attention paid to the relative sensitivity of each system to changes in critical dimension (CD) and resist profile. The results generally indicate that the SE is the most sensitive hardware type while the R is the most stable. The RP occupies some form of middle ground on both counts. These generalizations are largely application dependent and clear differentiations do not always exist. Selecting the right spectral scatterometry hardware, therefore, is a function of one’s application complexity and control objectives.
Hardware and Technique Development
icon_mobile_dropdown
Flexible alignment mark design applications using a next generation phase grating alignment system
Paul Hinnen, Hyun-Woo Lee, Stefan Keij, et al.
In this paper, alignment and overlay results on processed short-flow wafers are presented. The impact of various mark designs on overlay performance was investigated, using a newly developed phase grating wafer alignment sensor concept. This concept is especially suited to support mark design flexibility, as well as to further improve upon the performance of the alignment sensors currently known. The unique sensor concept allows for alignment to a large variety of marks layouts, thereby complying with customer specific alignment mark design requirements. Here, we present alignment performance results on Toshiba's new marks. For this purpose, the new alignment sensor was integrated in an ASML proto-type tool. Alignment performance on ASML default mark types was demonstrated to guarantee backward compatibility with known alignment sensors. Alignment repeatability numbers of <3 nm (3sigma) were obtained for the different mark designs investigated. These numbers were measured on marks in resist as well as on processed short flow lots. Short term overlay capability of <6 nm (mean+3sigma) was demonstrated on Toshiba mark types, and on ASML mark types. Long term overlay values were demonstrated to be below 8 nm (mean + 3sigma) for both mark designs. The alignment and overlay capability, on processed wafers, was demonstrated for two process modules: Gate-to-Active (GC-AA) and Metal1-to-Contact (M1-CS). Typical overlay values measured were 20 to 30 nm, for the GC-AA and the M1-CS process module respectively. Further improvements with respect to alignment performance and overlay capability are anticipated through the use of advanced applications, and by further optimization of alignment mark design. This will be verified in future joint Toshiba/ASML experiments.
Nano-imaging with compact extreme ultraviolet laser sources
We report high resolution imaging results obtained utilizing small-scale extreme ultraviolet laser sources. A compact capillary-discharge pumped Ne-like Ar laser emitting at a wavelength of 46.9 nm was used to demonstrate imaging with nanometer-scale resolution in transmission and reflection modes. We exploited the large photon fluence of this short wavelength laser to obtain high-resolution images with exposure times as short as 1-10 seconds. Images with a spatial resolution better than 140 nm were obtained using the combination of a Sc/Si multilayer coated Schwarzschild condenser and free-standing objective zone plate. Preliminary results of imaging with a 13.9 nm extreme ultraviolet laser light are also discussed.
Advanced mask metrology enabling characterization of imprint lithography templates
L. Jeff Myron, Liraz Gershtein, Gidi Gottlieb, et al.
Lithography costs for IC production at resolutions of 65-nm and beyond have grown exponentially for each technology node and show no sign of slowing. Step and Flash Imprint Lithography (S-FIL), developed at the University of Texas (UT) uniquely offers IC manufacturers the potential for lower cost of ownership, because S-FIL does not require expensive optics, advanced illumination sources or chemically amplified resists (CAR). The SIA’s addition of Imprint Lithography to the International Technology Roadmap for Semiconductors (ITRS) in 2003, indicates the promise to become a preferred technology and has some compelling advantages over traditional 4X optical lithography. Advanced 90nm binary & phase shift mask processes have been altered using thin Cr (15-nm) & thin e-beam resist (<150nm) resulting in sub 100-nm geometries necessary for S-FIL, and have become the baseline for template manufacture. Commercial production of advanced 1X templates requires CD metrology capability beyond the equipment typically used in 4X mask making. Full commercialization of Imprint Lithography requires not only the ability to generate a 1X template but also a metrology solution that can characterize critical dimension (CD) parameters of the template. Previous published work on S-FIL has focused mainly on high resolution templates produced on 100keV Gaussian pattern generators (PG), and has shown that resolution is only limited by the template. This work demonstrates that an advanced commercial photomask facility can fabricate templates with sub-100 nm critical dimensions, and that the CDs can be characterized using a commercially available CD-SEM metrology tool. CD metrology repeatability of 0.7nm 3σ was established on a quartz only template with a 6025 form factor.
Design of an integrated aerial image sensor
The subject of this paper is a novel integrated aerial image sensor (IAIS) system suitable for integration within the surface of an autonomous test wafer. The IAIS could be used as a lithography processing monitor, affording a "wafer's eye view" of the process, and therefore facilitating advanced process control and diagnostics without integrating (and dedicating) the sensor to the processing equipment. The IAIS is composed of an aperture mask and an array of photo-detectors. In order to retrieve nanometer scale resolution of the aerial image with a practical photo-detector pixel size, we propose a design of an aperture mask involving a series of spatial phase "moving" aperture groups. We demonstrate a design example aimed at the 65nm technology node through TEMPEST simulation. The optimized, key design parameters include an aperture width in the range of 30nm, aperture thickness in the range of 70nm, and offer a spatial resolution of about 5nm, all with comfortable fabrication tolerances. Our preliminary simulation work indicates the possibility of the IAIS being applied to the immersion lithography. A bench-top far-field experiment verifies that our approach of the spatial frequency down-shift through forming large Moire patterns is feasible.
Cross sectional measurements of dense high aspect ratio patterns using CD-SAXS
Developing non-destructive, high precision characterization methods of pattern cross section is critical to the maturation of sub-65 nm technologies. In this study, Criticial Dimension Small Angle X-ray Scattering (CD-SAXS) data are used to non-destructively characterize the dimensions of dense, high aspect ratio patterns with sub-nm precision. Data are presented for a series of photoresist patterns with varying degrees of footer and sidewall angle. The scattering intensity, I, is measured as a function of the scattering vector, q, and the sample rotation angle, w. The resulting data are transformed to an effective Qx-Qz plane, representing the Fourier transform of the average pattern cross section. From this data, complex features of cross sectional shape can in principle be obtained through systematic analysis of appropriate intensity slices. Here, we demonstrate the protocol for high precision measurements using CD-SAXS. Data are analyzed to extract average values of pitch, line width, line height, sidewall angle, and the size of a foot or undercut. In addition, the ability of the technique to analyze more complex features, such as lines with curved sidewalls and corner rounding, is discussed. The CD-SAXS technique is found to provide dimensions with sub-nm precision and sidewall angles with sub-degree precision in a non-destructive manner, providing an option for characterization of next generation microelectronic and nanofabricated structures.
Precision carbon nanotube tip for critical dimension measurement with atomic force microscope
B. C. Park, K. Y. Jung, J. Hong, et al.
Precision carbon nanotube (P-CNT) tip for atomic force microscope (AFM) was fabricated where CNT orientation and length is controlled within the precision of 1 degree and 300 nm, respectively. The orientation, diameter and length of CNT tip are crucial factors for faithful profiling of surface patterns. With a nano-manipulation while viewing scanning electron microscope live image followed by focused ion beam process, P-CNT tip could be made. P-CNT tip acts as a normal CNT tip without FIB process. Further it maintains the elasticity. P-CNT tip can, in principle, enter the trench or hole less than 70 nm, which is impossible with the current state-of-the-art silicon tip for CD-AFM. Flaring the CNT end would potentially make possible the AFM-based sub-70 nm CD metrology for these structures.
Overlay Tool and Mark Development
icon_mobile_dropdown
Improved CD and overlay metrology using an optical Fourier transform instrument
J. Petit, P. Boher, T. Leroux, et al.
We present an innovating method to measure the overlay by scatterometry using an optical Fourier transform (OFT) based system. In order to measure the overlay of patterned layers α and β, one line grating is placed in layer α and another in layer β. The two gratings have the same pitch and their lines are parallel. The whole scattering pattern of the double grating structure is then measured at fixed wavelength in a large range of incidence (0 to 80°) and for all the azimuth angles. This measurement is very rapid thanks to the OFT and not sensitive to vibration. The main advantage of OFT compared to standard OCD techniques like normal incidence reflectometry or spectroscopic ellipsometry is that the scattering pattern is more sensitive to overlay at an azimuth depending on the pitch value which is never parallel or perpendicular to the grooves of the gratings. In addition, the optical response is also sensitive to the sign of the overlay in addition to its amplitude. In a second method, we propose to measure the overlay simultaneously along the two directions of the plane using two bi-periodic structures patterned in layer α and β. By using OFT it is possible to deduce directly from the whole diffracted pattern, the overlay signs and amplitudes along both directions of the plane. The paper presents some simulations and some experimental results to illustrate this new method.
Accurate alignment technique for nanoimprint lithography
The inherently high resolution of imprint lithography has the promise of extending integrated circuit minimum feature sizes down to the 10 nm region. However, the main effort of companies building nanoimprint tools has been directed to the development of robust printing techniques, rather than to alignment. Consequently, no alignment system currently exists for nanoimprint lithography that is capable of the alignment accuracy required by the semiconductor industry. This paper proposes a solution to the problem of obtaining accurate alignment over an extended imprinted area. On the one hand, alignment is difficult to perform when the mold template and the substrate are in contact, or nearly in contact. On the other hand, if they are widely separated, the accuracy is limited by the difficulty of simultaneously imaging fine features on both of them. However, by using orthogonal polarizations, sharp images of the template and substrate can be obtained when they are separated by 30 to 40 μm. Previous experience with a dual focus x-ray alignment microscope indicates that this alignment technique will readily meet the 18 nm 3 σ tolerance required by the semiconductor industry at the 45 nm node. Here the technique is adapted for nanoimprint lithography by employing transparent bulk mold materials (e.g. quartz) with opaque alignment targets on the surface. In addition, a flexure stage capable of reproducibly bringing the mold template and the substrate into contact is integrated into the system, so that after the alignment is performed it can be maintained during imprinting.
In-chip overlay measurement by existing bright-field imaging optical tools
We have developed a target design for overlay measurement which is small enough (3x3μm) that it could be positioned within the active area of integrated circuit devices. These targets have been measured using an unmodified overlay tool. The targets are too small for the image to be fully resolved using visible wavelengths, and so measurement using the normal methods based on determining the relative positions of features in the image does not produce acceptable levels of measurement uncertainty. Instead, we show that the symmetry of the image can be used to determine the overlay error. We report initial results which show measurement uncertainty using this technique approaching the levels needed for overlay control at design rules under 100nm. These results are limited by the process used to create our test structures, and even better results may be possible with state-of-the-art lithography and processing techniques.
Overlay mark performance: a simulation study
The overlay budgets in leading-edge processes are expected to shrink below 20nm within the next 12-24 months. The demand for ever higher accuracies of overlay metrology for the 65nm node and below drive the development and design of new optical metrology solutions. In this work, we present new results as a continuation of the work we have previously reported on an overlay metrology simulation platform, capable of simulating the entire overlay measurement process. The simulation platform is used for modeling both the optical effects of the overlay metrology tool and the target process and design related effects on the overlay metrology performance. Using this simulation platform we have modeled target proximity effects limiting target size reduction, and process variation effects on overlay performance.
A study of novel overlay targets designs
We report the results of a study of grating-based target designs for overlay measurement. While improvements to measurement precision may be expected from targets with more pattern information, the main interest in these targets is the hope that they will provide more accurate measurements. In order to test the accuracy of these targets, we have compared data taken using them with data obtained from conventional bar-in-bar targets. In general, good agreement is seen. There are instances, however, where the grating targets all produce a significantly different measurement to the bar-in-bar target. Although this isn’t proof of improvements in measurement accuracy with these newer designs, it does suggest that thorough investigation is warranted.
Optimization of geometry of alignment mark using rigorous coupled-wave analysis (RCWA)
Roman Chalykh, Seong-Sue Kim, Sang-Gyun Woo, et al.
Simulation works are reported for the optimization of alignment mark geometries to enhance the magnitude of alignment signal and to improve precision of alignment and overlay process. Modeling of diffraction of electromagnetic wave on alignment mark is based on rigorous coupled-wave analysis (RCWA). This simulation allows calculating of overlay signal and optimizing of alignment mark. Using of RCWA approach significantly decreases computational time and required memory size comparing with FDTD. Overlay signal is usually measured using one of the diffraction orders. Thus the great advantage of using RCWA instead of FDTD is possibility to find amplitude of this diffracted order directly.
Line-Edge Roughness, SEM Modeling
icon_mobile_dropdown
Unbiased estimation of linewidth roughness
Linewidth roughness (LWR) is usually estimated simply as three standard deviations of the linewidth. The effect of image noise upon this metric includes a positive nonrandom component. The metric is therefore subject to a bias or "systematic error" that we have estimated can be comparable in size to the roughness itself for samples as smooth as required by the industry roadmap. We illustrate the problem using scanning electron microscope images of rough lines. We propose simple changes to the measurement algorithm that, if adopted by metrology instrument suppliers, would permit estimation of LWR without bias caused by image noise.
The application of critical shape metrology toward CD-SEM measurement accuracy on sub-60nm features
The ever decreasing trend in feature geometry has placed increased importance on the concept of obtaining accurate and repeatable shape information at both the photo and etch steps. Traditional CD-SEM measurement algorithms are known to produce highly repeatable results but with large measurement bias depending on the feature shape (bias = average reported measurement - true value). In this paper we show the value of using Critical Shape Metrology (CSM), a physics-based Monte Carlo model, to extract shape information (sidewall angle, top rounding, footing,...) as well as CD measurements with very low bias, without compromising repeatability and throughput. Shape information and CD bias have been quantified through the use of a CD-AFM for all measurements taken using CSM. Several set of data are also compared to different scatterometry tools.
Full spectral analysis of line width roughness
L. H. A. Leunissen, G. F. Lorusso, M. Ercken, et al.
Various approaches can be used to quantify line width roughness (LWR). One of the most commonly used estimators of LWR is the standard deviation. However, this approach is incomplete and ignores a substantial amount of information. We propose here a full spectral analysis to investigate and monitor LWR. A variety of estimators, such as standard deviation, peak-to-valley, average, correlation length and Fourier analysis have been implemented on-line on CDSEM. The algorithms were successfully tested against e-beam written LWR patterns, both deterministic and random. This approach allows a fully automated investigation of LWR. This methodology was used to monitor LWR over a long period of time, benchmark new resists and to investigate the effect of LWR on device performance and yield.
Application of critical shape metrology to 90nm process
Critical Shape Metrology (CSM), a Monte-Carlo simulation-based technique that extracts feature shape information from top-down CD-SEM images, is applied to study advanced process steps of etched polysilicon layers. True bottom CDs and sidewall angles are among the parameters obtained during real-time wafer inspection. Comparison is made to FIB cross-sections obtained independently from select test sites.
Experimental study of contact edge roughness on sub-100 nm various circular shapes
The measurement of edge roughness has become a hot issue in the semiconductor industry. Especially the contact roughness is being more critical as design rule shrinks. Major vendors offer a variety of features to measure the edge roughness in their CD-SEMs. For the line and space patterns, features such as Line Edge Roughness (LER) and Line Width Roughness (LWR) are available in current CD-SEMs. However the features currently available in commercial CD-SEM cannot provide a proper solution in monitoring the contact roughness. We had introduced a new parameter R, measurement algorithm and definition of contact edge roughness to quantify CER and CSR in previous paper. The parameter, R could provide an alternative solution to monitor contact or island pattern roughness. In this paper, we investigated to assess optimum number of CD measurement (1-D) and fitting method for CER or CSR. The study was based on a circular contact shape. Some new ideas to quantify CER or CSR were also suggested with preliminary experimental results.
Integrated Metrology/Design
icon_mobile_dropdown
Application of scatterometry for evaluation of lithographic process and OPC model generation
Karen Huang, Joungchel Lee, Youxian Wen, et al.
Evaluation and qualification of lithographic exposure tools is a crucial step in establishing high volume manufacturing processes for IC manufacturers. The data sampling offered by scatterometry can be as dense as that from ECD (electrical CD) for the qualification of the tool. In this paper, the CDs obtained from scatterometry measurements are compared with those obtained by ECD (electrical CD) measurements to show the cross-slit and cross-scan tool characteristics. Since scatterometry is still an order of magnitude slower than ECD, data from various sampling plans will be compared. Another important consideration of this study is to use scatterometry to generate OPC (optical proximity correction) models for the 45nm and 32nm nodes. An accurate measurement of the process to fit the model becomes very crucial in the very deep sub-micron regime. Currently, SEM measurements are performed but they are slow and their precision is not adequate. In this paper, scatterometry measured data will also be compared with SEM data for OPC model fit.
A new matching engine between design layout and SEM image of semiconductor device
Optical proximity correction (OPC) plays a vital role in the lithography process development of current semiconductor devices. OPC is utilized to achieve the ideal pattern shape because of the limitations of optical resolution. However, the lithography process design has become increasingly more complex due to the abundant use of OPC features. Hence, metrology requests for CD-SEM have also become more complex and diverse in order to characterize the critical OPC models. The number of measurement points for OPC model evaluation has increased to several hundred points per layer, and metrology requests for realized pattern shapes on the wafer are no longer simple one-dimensional measurements. Metrology requests include not only the traditional line width measurements, but also edge placement error (EPE) and corner rounding to identify line end shortening. Several researchers have proposed using the design layout as a template instead of the SEM image for the recipe creation of CD-SEM and EPE measurement. However, it is very difficult to achieve good matching results between the design layout and the SEM image in practical processing times. Hitachi High-Technologies has developed a robust and quick matching engine between the design layout and SEM image bitmap. The new system, incorporating this new matching engine, can automatically create a practical recipe from the coordinate information of measurement point and the design layout information, such as GDSII. As a result, the new system can vastly reduce the amount of time and number of operations required to generate a several-hundred point CD-SEM recipe for OPC evaluation. This study demonstrates the capability and presents evaluation results of this new matching engine. This new capability has proven to be a viable solution for OPC evaluation, and its efficiency will allow for quicker information turns between design and manufacturing.
Line-Edge Slimming, Critical Dimension
icon_mobile_dropdown
Metrology of deep trench etched memory structures using 3D scatterometry
Peter Reinig, Rene Dost, Manfred Moert, et al.
Scatterometry is receiving considerable attention as an emerging optical metrology in the silicon industry. One area of progress in deploying these powerful measurements in process control is performing measurements on real device structures, as opposed to limiting scatterometry measurements to periodic structures, such as line-space gratings, placed in the wafer scribe. In this work we will discuss applications of 3D scatterometry to the measurement of advanced trench memory devices. This is a challenging and complex scatterometry application that requires exceptionally high-performance computational abilities. In order to represent the physical device, the relatively tall structures require a high number of slices in the rigorous coupled wave analysis (RCWA) theoretical model. This is complicated further by the presence of an amorphous silicon hard mask on the surface, which is highly sensitive to reflectance scattering and therefore needs to be modeled in detail. The overall structure is comprised of several layers, with the trenches presenting a complex bow-shape sidewall that must be measured. Finally, the double periodicity in the structures demands significantly greater computational capabilities. Our results demonstrate that angular scatterometry is sensitive to the key parameters of interest. The influence of further model parameters and parameter cross correlations have to be carefully taken into account. Profile results obtained by non-library optimization methods compare favorably with cross-section SEM images. Generating a model library suitable for process control, which is preferred for precision, presents numerical throughput challenges. Details will be discussed regarding library generation approaches and strategies for reducing the numerical overhead. Scatterometry and SEM results will be compared, leading to conclusions about the feasibility of this advanced application.
Poster Session
icon_mobile_dropdown
Examination of possible primary mechanisms for 193nm resist shrinkage
Lior Akerman, Guy Eytan, Ryusuke Uchida, et al.
As the need to create smaller features increases, the industry is moving on to 193nm photoresist systems. It is well known that one problem with this resist is its shrinkage under secondary electron microscope (SEM) measurements. While different phenomena arising from electron-material interaction are assumed to take place (such as crosslinking and scission), the primary mechanism which brings about this shrinkage is still unclear. This paper comprises four main experiments, relating to four theories for the primary mechanism which brings about the shrinkage. In the first experiment we wanted to examine how the shrinkage is affected by subjecting the resist to 193nm exposure (after patterning). This experiment examines the theory that the electron exposure induces an effect similar to that of 193nm exposure. The second experiment deals with e-beam curing in different doses, using working parameters similar to those used in SEM measurements (e-beam curing entails a much smaller power density than SEM measurements). The third experiment addresses the theory of disassociation of carbonyl bonds in the resist, leading to crosslinking and eventual evaporation of CO2 molecules. The last experiment tests the theory that the shrinkage is caused by the collapse of voids within the photoresist, generated during the resist coating or subsequent bakes. From the results we conclude that an effect similar to radiation exposure, local heating and the collapse of voids are not likely candidates for the primary mechanism. We did, however, find a correlation between the carbonyl levels in the resist and the shrinkage.
Line-Edge Slimming, Critical Dimension
icon_mobile_dropdown
Influence of material on process focus budget and process window of 80nm DRAM devices
Sung Won Choi, SukJoo Lee, Jangho Shin, et al.
A new type of focus monitoring mask, multiple phase-phase shift mask (MP-PSM), has been designed, and the focus budget of a lithography process was investigated. MP-PSM was used to identity focus controllability of several scanners and was able to detect focus change smaller then 20 nm. We also investigated the difference in focus variation across a wafer between double side polished wafers and single side polished wafers. A comparative study of focus controllability of a scanner using 80 nm node DRAM pattern showed that the focus controllability of a scanner was directly affected by wafer type. Using double side polished wafers increased the process window.
Novel CD-SEM calibration reference patterned by EB cell projection lithography
Yoshinori Nakayama, Satoshi Gonda, Ichiko Misumi, et al.
A silicon grating pattern with a 100-nm pitch size for calibration of electron-beam (EB) metrology systems was formed by EB cell projection writing using a grating stencil mask and dry etching. According to the evaluation results from a critical-dimension scanning electron microscope (CD-SEM), the uniformity of the pitch size in a 1.8 x 1.8-mm chip was smaller than 3 nm within 3 sigma in the x and y directions. The obtained 100-nm pitch size was calibrated by DUV laser diffraction. The difference between designed 100-nm pitch size and the calibrated pitch size by DUV laser diffraction was smaller than 0.1 nm. It is thus concluded that more precise calibration of the CD-SEM using this 100-nm pitch grating is expected compared with conventional calibration using 240-nm pitch reference grating fabricated by laser-interferometer lithography and anisotropic chemical etching.
Qualification of an integrated scatterometer for CD measurements of sub-100nm resist structures in a high-volume 300mm DRAM production environment
Thomas Marschner, Goeran Fleischer, Stefan Fuchs, et al.
In our work, Tokyo Electron's iODP103 (integrated Optical Digital Profilometry) technology is used for integrated measurements on a next-generation Lithius Clean Track on after develop inspect (ADI) 300mm wafers. We show that single tool precision and tool-to-tool matching of three integrated systems fulfill the precision requirements of the 70nm DRAM technology node. Further results from a long-term pilot test using integrated scatterometry in a full-volume DRAM production of the 110nm technology node on 300mm wafers are also discussed. The data from our experiment is collected and charted in fab monitored statistical process control (SPC) charts, and compared to the charts from the POR CD-SEM measurements. The sampling plans are optimized in such a way as to perform fully integrated measurements on all wafers per lot, without throughput loss of the litho cluster. We demonstrate that the possibility of measuring all wafers per lot directly after development, in combination with the sensitivity of the method, allows the identification of effects that could not previously be identified by CD-SEM measurements alone.
Mask-Related Metrology/Defect Analysis
icon_mobile_dropdown
The effect of mask substrate and mask process steps on patterned photomask flatness
Kenneth Racette, Monica Barrett, Michael Hibbs, et al.
Photomask substrate, blank, and finished mask flatness are becoming more serious concerns for photomask fabrication. Most commercial and captive mask houses now use a combination of mask blanks at various flatness levels from >2.0um to <0.5um, measured as total indicated range, or TIR. As mask feature sizes are reduced, depth of focus becomes significantly smaller, driving the need for tighter flatness specifications. Photomask blank suppliers generally specify mask blank flatness based on measurements of quartz substrates before films are deposited. The mask substrates start with unique, non-flat shapes resulting from polishing and are further deformed by the stress of deposited films. Mask patterning, which removes some of the deposited films, has the potential to change the shape and flatness of the mask. The attachment of a pellicle and frame also has the potential to distort the mask. Since the goal of the mask maker is to provide a finished mask meeting all requirements, including flatness, it is important to understand the effects of each step in the flatness life of the photomask. This paper provides flatness data from the following process steps: quartz substrate, chromium coating, phase shifter coating, resist coating, patterned mask and pelliclized mask. A correlation is made of substrate and blank flatness and shape to finished mask flatness, with proposed practical guidelines for control of final mask flatness.
Impact of EUV mask pattern profile shape on CD measured by CD-SEM
Uwe Dersch, Arnd Korn, Cornelia Engelmann, et al.
For extreme ultraviolet lithography (EUVL) the absorber binary mask is until now the most promising mask type. Since at EUV only reflective masks are possible, EUVL will introduce new materials for mask manufacturing. In addition it is likely that the pattern of an EUV mask will consist of a structured double layer system. Therefore, mask CD-SEM metrology for EUVL has to deal with the contrast of rather new materials and has to face a more complex mask pattern topography situation. Using a Monte Carlo model, we simulate the SEM-signals emerging from a given EUV mask pattern topography while scanned by the electron beam of a SEM. The simulation is tuned to closely match the experimental situation of a commercial CD-SEM. Generated SEM images are analyzed by means of a commercial CD-algorithm and a peak detection CD-algorithm. Knowing the exact pattern shape that are fed into the simulation, we determine the effect of specific pattern profile changes on SEM-signal and algorithm specific CD.
Exploring advanced mask repair options
Emily Fisch, Colin Brodsky, Philip Flanigan, et al.
The extension of 193 nm lithography to the 65 and 45nm nodes transfers the development challenges from those associated with a new wavelength to those required to push an existing technology further. With respect to mask repair, new challenges are introduced as the mask features shrink and OPC becomes increasingly aggressive. These same factors increase mask costs to provide strong motivation for enhancing existing repair capabilities. The business case for investing in repair has only become stronger for leading edge 193 nm masks. This paper evaluates several repair techniques on a range of clear and opaque defects in Cr, MoSi and quartz features. A systematic approach is used to evaluate options for understanding the quality of a repair. Though conventional reconstruction techniques are used, we also explore non-intuitive repairs. These non-standard repairs attempt to reconstruct the printed image without duplicating the designed physical structure. An understanding of each method's process latitude is gained. AIMS analysis, lithographic simulations, and wafer print results are used to refine the understanding of repair specifications.
Understanding and reduction of defects on finished EUV masks
Ted Liang, Peter Sanchez, Guojing Zhang, et al.
To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.
Double-grating lateral shearing interferometer for EUV optics at-wavelength measurement
Zhiqiang Liu, Masashi Okada, Katsumi Sugisaki, et al.
A Calibration technology for double-grating lateral shearing interferometer1 (DLSI) and lateral shearing interferometer (LSI) is proposed in this paper. In this method, two measurements are used for calibration. One is the measurement by using the first- and zero-order diffraction beams of grating in the interferometer; the other one is the measurement by using the minus-first-order and zero-order diffraction beams. The phase distributions were calculated out from the two measurements. After shifted one phase distribution to superpose the other one, in the sum of the two phase distributions, the test wavefront is canceled. The system error caused by the grating diffraction and grating tilt can be calculated out from the sum of the superposed phase distributions. For calculating out the system errors, the sum of the two phase distributions is fitted to Zernike-Polynomials. From the coefficients of the Zernike-polynomials, the system error is calculated. This method is carried out to calibrate the system error of DLSI. We performed an experiment to verify the available of our calibration method.
Wavelength dependent mask defects
Karen Badger, Shahid Butt, Jay Burnham, et al.
For years there has been a mismatch between the photomask inspection wavelength and the usage conditions. While the non-actinic inspection has been a source for concern, there has been essentially no evidence that a defect "escaped" the mask production process due to the inspection mismatch. This paper will describe the discovery of one such defect, as well as the diagnostic and inspection techniques used to identify the location, analyze the composition, and determine the source of the printed wafer defect. Conventional mask inspection techniques revealed no defects, however an actinic Aerial Image Metrology System (AIMS) revealed a 1.5 mm region on the mask with up to 59% transmission reduction at 193 nm. Further diagnostics demonstrated a strong wavelength dependence which accounted for the near invisibility of the defect at I line (365 nm) or even DUV (248 nm) wavelengths, which had 0% and 5% respective transmission reductions. Using some creative imaging techniques via AIMS tool and modeling, the defect was deduced to have a three dimensional Gaussian absorption character, with total width approximately 1.5 mm. Several non-destructive diagnostic techniques were developed to determine the composition and location of the defect within the substrate. These results will be described in addition to identifying methods for ensuring product quality in the absence of actinic inspection.
CD Uniformity Control: Joint Session with Conf. 5755
icon_mobile_dropdown
Reaching a CD uniformity of below 3 nm for 300 mm post-etch wafers by adjusting the CD distribution of ADI wafers
Obtaining good post-etching CD uniformity is getting more and more important in advanced processes such as 90 nm, 65 nm, and even 45nm for 300 mm wafers. But process noise greatly impacts the CD uniformity, especially etching bias and metrology noise. To achieve a CD uniformity of below 3 nm for 300 mm post-etch wafers, the metrology noise and process noise must be reduced and compensated for. In this paper, we demonstrate spectroscopic ellipsometry CD with the advantages of high stability and high accuracy to get CD information precisely, and high sensitivity to monitor PEB temperature and exposure energy fine variation in order to compensate for the etching bias. This study focuses on the feasibility of minimizing the CD uniformity of post-etch wafers by ADI CD compensation for a 300 mm leading-edge fab. Because the CD uniformity of after-development inspection (ADI) wafers from a leading-edge lithographic tool could be in the range of only 3 nm, it is very challenging to reveal the true CD signature of an ADI wafer using a metrology tool. A spectroscopic ellipsometry based metrology tool, SpectraCD, was used in this study. In order to make sure the CD signatures reported by SpectraCD reveal the true behavior of a lithographic tool, the well-published Total Test Repeatability (TTR) test was adopted. In comparison with 3 nm CD uniformity, a 0.2 nm TTR is accurate enough for this study. In addition, from more than 100 wafers produced within a week, the CD signature of ADI wafers is very stable on wafer-to-wafer and lot-to-lot bases. Basically, all the ADI wafers produced from a single post-exposure-bake plate of an exposure tool within a week show very similar CD signatures. The feasibility of reaching a CD uniformity of 3 nm for post-etch wafers will be demonstrated in this study.
Comprehensive CD uniformity control across lithography and etch
It has been shown that across-wafer CD (critical dimension) uniformity can be improved by compensating for systematic CD variations through the litho-etch sequence by tuning the across-wafer PEB temperature profile1. Earlier work describes the approach to enhance post-develop CD uniformity (CDU) utilizing temperature-to-offset model in conjunction with resist CD's PEB sensitivity. Taking into consideration CD variation induced by plasma etch, we then develop a methodology to improve the post-etch poly CDU. This is done using constrained quadratic optimization techniques. Considering the fact that isolated, semi-isolated and dense lines in multiple sizes coexist on an actual chip, it is desirable to have simultaneous CDU control for isolated, semi-islolated and dense lines of various sizes. In this paper we expand our CDU control concept to simultaneous CDU control for multiple CD targets and propose the use of multi-objective and minimax optimization schemes. A combination of experimental and simulated runs is used to test this approach.
Implementation of integrated auto macro-defect inspection in the photolithography tool cluster
Integrated Auto Macro Defect Inspection was implemented onto all photolithography clusters at IBM’s 300mm wafer manufacturing line. Inspection is performed post photoresist develop step and has resulted in achieving 100% wafer inspection while minimizing tool downtime to less than 5%. This paper discusses the challenges encountered towards making the inspection process manufacturable. The challenges started as tool integration issues during implementation, but have evolved to include hardware and software issues as well. The tool integration issues encountered at the outset pertained to the physical installation of a macro metrology tool into the photolithography tool cluster. Subsequently, the hardware challenges included ensuring that the macro inspection, recipe management, and disposition systems were designed properly in order to effectively receive, send, and store the inspection data. Software challenges, on the other hand, are very similar to those encountered with the automated macro defect inspection standalone tools. With the existing software providing a high degree of defect detection capability the challenge has been more towards decreasing the high number of false fails while ensuring that the true fails do not end up passing inspection. False fails significantly impact manufacturing throughput of the photolithography sector. Ongoing software improvements in response to these false fails have resulted in improving inspection accuracy. False fails are further being addressed by moving towards automated recipe creation (utilizing the scanner/stepper file shot map offset parameters). Heightened sensitivity of non-optimized recipe parameters, also lead to trivial defect fails that are not necessarily reworked. This significantly impacts cycle time while causing tool downtime and thus needs to be avoided. On the other hand preventing true fails from passing inspection involves ensuring that the recipe parameters are adequately sensitized. To further improve automated macro defect inspection several hardware and software improvements have also been pursued. Software improvements include accurately grouping the detected defects and performing automated defect classification into pre-taught categories. Hardware related improvements include improving defect coordinate repeatability and integrating a "smart" system that will provide real-time feedback, thus resulting in automated tool shut down and product rework when necessary. This paper will also discuss the importance of defect detection capability, defect classification, and KLARF file conversion in implementing automated disposition.
Impact of averaging of CD-SEM measurements on process stability in a full volume DRAM production environment
Thomas Marschner, Uwe Kramer, Anice Lee, et al.
In our work we investigate the influence of averaging varying numbers of measurement structures on process stability and CD uniformity. Measurements are performed on an Applied Materials VeritySEM CD-SEM system which provides the possibility to measure several lines or contact hole structures and to yield the average and 3 sigma value of all measured structures. We show that averaging significantly improves the single tool precision up to 30%. Additionally, a long term pilot test has shown that the range of the CD distribution of selected production layers is significantly decreased reducing the contribution of the measurement to the total CD budget resulting in a yield enhancement. Further, we discuss the influence of averaging on the contribution of short-range random CD variations for CD uniformity measurements. This is done by investigating the distribution of the CD difference between adjacent structures across the wafer. We show that increased averaging significantly reduces the contribution of random CD variations to the CD budget.
Advanced Process Control: Joint Session with Conf. 5755
icon_mobile_dropdown
OPC accuracy enhancement through systematic OPC calibration and verification methodology for sub-100nm node
Hyunjo Yang, Jaeseung Choi, Byungug Cho, et al.
New generation DRAM devices such as high speed Graphic DRAMs demand smaller size transistors and very precise CD control. However, the application of very high NA and aggressive Resolution Enhancement Techniques (RETs) increases Isolated-dense bias and leaves very small process window for isolated transistor patterns. It implies that a very aggressive and also very delicate OPC work is required for these new generation devices. A novel measurement system which can compare CD SEM image with CAD data has been developed and we were able to systematically calibrate OPC modeling and verify modeling accuracy by connecting this measurement system with OPC tools. In this paper, the functions of the novel measurement system are presented and the application to the OPC calibration and OPC accuracy verification are presented. This novel measurement system was very useful for 2D model calibration. We were able to enhance OPC accuracy through this systematic OPC calibration and verification methodology.
Sampling plan optimization for CD control in low k1 lithography
For advanced process control, a sampling plan for critical dimension measurement is optimized through empirical considerations concerning the nature of error and a statistical approach. The metric of the optimization is the accuracy of lot mean estimation. In this work, critical dimension errors are classified into static and dynamic components. The static component is defined as the error which repeats through lots while keeping its tendency, and the dynamic as the error whose tendency changes by lot. In the basic concept of our sampling plan, sampling positions and size are determined from the static and dynamic error, respectively. The balance of sampling number of wafer, field and pattern is obtained under the restriction of total sampling size by a statistical theory with some assumptions. Based on the concept, we could make a sampling plan for 65 nm CMOS lithography.
CD Measurement and Reference Systems/Comparisons
icon_mobile_dropdown
Automated CD SEM tilt—ready for primetime: a fast in-line methodology for differentiating lines vs. spaces
Eric Solecky, Kay Chin, Gongyuan Qu, et al.
One of many challenges the process or metrology engineers face is incorrect flagging on the process control chart. It could either be a result of an un-optimized recipe that measures the wrong feature (a space instead of line) or a feature placement error due to tool limitation. This can be a costly problem in the fab where processes are put on hold, feedback loops are corrupted and backlogs are built up unnecessarily. Often many hours must be spent by operators re-inspecting lots and process or metrology engineers re-qualifying the recipes. Most CD SEMs use algorithms employing pattern matching and contrast difference to differentiate between line and space. However, the shrinking node requirement, limited contrast between line and space images and the 1:1 line and space ratios have revealed limitations of these algorithms. Recently, a metrology solution using beam tilt on the KLA-Tencor ECD-2 has been developed to tackle the problem of dense line or dense trench array measurements. This methodology is a line vs. space detection mechanism that precedes the metrology measurement. This application is quick and oblivious to low contrast differences between line/space and to systematic errors that occur with narrow feature positioning. Whether or not the specified feature type for measurement is centered in the field of view, it always detects the requested feature type and sets the metrology measurement to be made accordingly and therefore significantly reducing false negatives and false positives. This application also allows for greater tolerance in recipe setup and placement error, and thus lightens the burden of recipe creation on the novice user. This technique can also be incorporated into waferless design based metrology where limited prior knowledge of the wafer is one of the requirements. Future potential of this metrology solution will also be discussed. This could include detecting undercut situations and possibly even correcting bottom CD based on undercut angular detection.
Poster Session
icon_mobile_dropdown
Standing wave reduction of positive and negative I-line resists
A. G. Grandpierre, R. Schiwon, F. Finger, et al.
Implantation layers may require smaller resist thickness as chip dimensions decrease. When reducing the thickness below 800 nm, while keeping all other track and exposure settings the same, standing waves in positive and negative I-line resists become more prominent. Bottom antireflective coating helps reducing the amplitude of the waves, but additional efforst, like BARC open RIE steps, or more coater units on the track, will increase the cost of ownership significantly. One may also consider changing the bake settings, which play a critical role in the formation of standing waves. The standard settings used for mid UV resists are 90 deg post apply bake (PAB) and 110 deg post exposure bake (PEB). Although resist suppliers recommend staying within this temperature range, we have used settings outside the range, as part of testing for possible profile ameliorations. Optimized settings for both tones were achieved with a different combination of the two bakes. The overall performances of the tested samples with optimized settings were satisfying in terms of CD range, stability and process window.
Critical dimension control on I-line steppers
Roberto Schiwon, Alexandra G. Grandpierre, Michael Kubis, et al.
As chip dimensions decrease, I-line steppers can still provide very good performance for uncritical layers at low cost and high throughput. However, while older technologies are relatively insensitive to tool and process variations, process control of advanced technologies on I-line becomes critical. In this paper, we will concentrate on critical dimension variations of I-line lithography and provide some examples. The topics considered here primarily cover variations in CD range and CD mean that can be detected in SPC charts. We also discuss the benefits and drawbacks of measurements performed in the kerf rather than in the chip, in relation with the above CD control investigation. Finally we discuss how sampling should be effectively related to the process stability (Cpk values).
Methodology and mechanism study on high aspect ratio (HAR) contact bottom image in scanning electron microscopy
H. C. Kang, J. T. Lim, J. S. Choi, et al.
Contact patterns that have high aspect ratio (HAR) are inevitable as the design rule has been shrunk in semi-conductor fabrication processes. HAR contacts have serious troubles to monitor the Critical Dimension (CD) of the contact bottom images with Scanning Electron Microscope (SEM). Because we can not see the bottom images anymore with general methods as the contact is getting deep. We must be able to extract secondary electrons from the contact bottom to monitor the bottom images in the contact patterns. One possible solution that we may suggest is using positive charges on the wafer surface as a driving force for secondary electrons from the contact bottom. If the positive charges are generated on the wafer surface, an electric field will be created between the contact bottom and the wafer surface. The electric field will drive the secondary electrons from the contact bottom to the wafer surface, which makes the contact bottom images. High surface voltage can be acquired when the electron energy and the magnification in pre-charge are smaller, but it requires longer charging time. High probe current can help the charging time in this case, though it may cause some damages on the wafer. After all, optimized determination is required considering the charging time and the surface voltage at various aspect ratios. In addition, there is one thing that we must consider. When the charged contact pattern is exposed to electrons at high magnification, the surface voltage on the wafer surface tends to be stabilized at lower voltage which causes fading away of the contact bottom images. Therefore, electron exposure must be minimized at high magnification by setting the focus a little away from the observation point and so on.
X-ray nanoplasma instruments and tools
S. H. Bloom, H. Rieger, J. Alwan
Soft x-rays have wavelengths in the range of 1-15 nm and therefore the diffraction limited spot size of focused x-rays can be as small as 1.22 x the radiation wavelength, or less than 20 nm spot size. Using our pulsed x-ray source and focusing a small collected solid angle of this x-ray radiation to a sample provides enough power to form a very hot plasma that emits a range of radiation from UV through IR that can be collected and analyzed on a conventional optical spectrometer. In addition to diagnostic capabilities the instrument can be also used as a tool to form structures at nanometer scale resolution. Since the plasma is formed by ablating the target material with x-rays the target can be patterned or nanomachined using the plasma itself. It should be possible to pattern nanoscale devices by rastering the material under the nanoplasma. Finally in analogy to plasma assisted CVD processes, organometallic vapors could introduced into the sample chamber such that the nanoplasma locally plates out specific species of metals of other materials on the target at nanoscale sizes for forming devices, circuits, wires, etc. This paper presents a design for a nanoplasma instrument, predicted performance parameters will be presented, and development issues identified and discussed.
Arbitrary cross sections and stack forms measurement simulations for the semiconductor circuits by scatterometry
This paper shows the basic numerical calculation methods for measuring line widths and shapes between 45-80nm using normal and oblique incident light waves to control the resist and silicon line widths for the next-generation semiconductor circuits. The shape measurement method by no destruction and no contact, using the light wave scattering method is called "Scatterometry". While using scatterometry with the actual manufacturing process, it is necessary to compare the characteristics in proportion to the trench shape with the measured values in the real-time. In this paper, we calculate the scattering characteristic from the resist trenches that are put on the silicon substrate. Arbitrary shape groove regions are divided into multilayers using step approximations. The electromagnetic field in each layer can be expanded into eigenmodes of Maxwell's equations. Then, the scattering matrices are obtained. Here, we use the generalized scattering matrix method for the multi-step connection and propose the time shortening method for seeking the groove shapes. Next, the 3D rectangular and arbitrary shape grooves analysis is carried out by considering the oblique incidence using RCWA and FDTD, respectively.
Observation of subsurface structures using high-energy SEM
Miyako Matsui, Syuntaro Machida, Hideo Todokoro, et al.
Manufacturing integrated devices with faster clock speeds requires the fine control of three-dimensional gate structures, including line-edge roughness, sidewall angles, and sidewall structures, as well as the control of line widths. In addition, a way to observe underlying structures in devices with multi-layer interconnects is required. As a way to meet future metrology requirements, we propose the use of high-energy scanning electron micrscopy (SEM), which is better suited to the measurement of 3-D structures and underlying structures than conventional low-energy SEM. High-energy SEM is shown to reveal subsurface structures that are not detected by low-energy SEM. Firstly, a motched gate structure and a polycide gate with a sidewall spacer are observed with spatial resolutions of a few nanometers. The relationship between the thickness of the upper layer and beam energy at which underlying structures are observable is also investigated. The beam should be energetic enough to pass through the upper layer without being broadened, but weak enough that incident electrons are back-scattered by the underlying structures. We were able to observe line structures at depths of up to 800 nm by using incident beams with energy levels from 50 to 100 keV.
Optical characterization of diffractive optical elements replicated in polymers
Sigitas Tamulevicius, Giedrius Janusas, Asta Guobiene, et al.
Due to relative ease and cost effectiveness with which planar polymeric structures can be fabricated, diffractive optical elements replication in polymeric substrates are receiving global attention for a myriad of planar photonic and optoelectronic applications including optical interconnects. In this work we present an optical laser control method to control replication of microperiodic profile structures in polymers. Diffraction efficiency of diffraction gratings (originally produced in silicon, quartz glass and in replicated polymer substrates) was measured experimentally and estimated using linear dimensions of gratings or replica defined by atomic force microscopy (AFM). Diffraction efficiency of periodic structure was used to control the surface relief formation during the combined ion etching of crystalline Si (100) and replication of this structure using UV light hardening and hot embossing. The main experimental results are compared with the computer simulations where the standard programme (PCGrate-SX6.0) was employed.
Separating cluster contribution to improve CD distribution using simultaneous dose-focus monitoring on production wafers
Louis-Pierre Armellin, Virginie Dureuil, Olivier Guillaume, et al.
As device dimensions shrink the number of parameters influencing CD increases (PEB dispersion, development uniformity, resist thickness, BARC thickness, +/- scan focus control, scanner focus control at edge of the wafer...). Separation between all these contributors is not easy using only CD-SEM measurement, and particularly with isolated lines. For high volume manufacturing (where "time is money") and in the case of litho cluster drift, a quick and accurate diagnostic capability is an advantage for minimizing tool unavailability. An important attribute of this diagnostic capability is that its implementation is on standard production wafers. The use of production wafers enables continuous monitoring and also allows a direct correlation between monitoring measurements and the impact on product. The technology that enables this type of diagnostic capability makes use of a compact dual tone line-end-shortening based target. A key benefit to this technology is that it provides a separation of the dose and focus parameters, which leads to quicker route cause determination. After building a calibration model and determining minimum dose and focus sensitivity, both short term and long term stability of the model is investigated. The impact of wafer topology on model prediction is also investigated in order to assess on-product monitoring capability. The main error contributors are then identified for both track and scanner and the impact on CD control is evaluated. These cluster error contributors are then varied, first separately, and then combined. Measurement results are compared to the input parameters in order to determine error detection ability, measurement accuracy and separation capability.
Etching error analysis of dot grating array in microlithography fabrication
N. K. Bao, Z. Y. Chen
This paper described the error effect in fabricating process of dot grating array using the Electro-Beam Lithography (EBL). These errors show the change in the width and depth of each pixel of binary optical element (BOE). It will directly induce the errors of the position and phase of transmission beam. The experimental simulating results are compared with that of the theoretical ones.
Investigation on the effect of metallic impurity Zn in solvent during photolithography process
Byoung-Tak Jeon, Ook-Hyun Kim, Jeong-Heon Baik, et al.
The trend toward narrower line widths in the manufacture of integrated circuits has put an increasing burden on contamination control in every aspect of semiconductor fabrication. For a deep sub micrometer device, metal contamination appearing on the device can cause fatal problems including increasing the leakage current at the p-n junction, decreasing the breakdown voltage of oxide. Many lithographic defects have been known and evaluated, however, the effects of metallic impurity (Zn) in solvent are seldom reported during lithography process. Solvents are component material for Photoresist and have been used for prewet, strippers, EBR, rinse and so on during photolithography process. Lithography plays a very important role because it is applied repeatedly onto the wafer surface during device manufacturing. Unfortunately, pattern lifting happened to well formation layer wafers that were reworked on a normal iline litho process after stripping the Photoresist with solvent (PGMEA). We also detected blocked pattern defect at 0.18 CMOS gate pattern coated with DUV resist applied solvent prewet step after BARC coating. From various investigations, we could know that pattern lifting and blocked defect were derived from solvent (PGMEA). In this paper, we show mechanism of adhesion fails and blocked defect happened by metallic impurity Zn in solvent during solvent rework and prewet on organic BARC film. It shows that proper control of metallic impurities in thinner is an important item in FAB.
On board polarization measuring instrument for high NA excimer scanner
Toru Fujii, Naonori Kita, Yasushi Mizuno
We developed an instrument for monitoring the polarized illuminator of the ArF scanner. A rotatable retarder and a rotatable analyzer were incorporated in the instrument for polarimetry. The instrument measures polarization state of the polarized illuminator in sufficient accuracy. Stokes parameter of the illumination light incorporated in the ArF scanner was successfully obtained. The measured result showed that the polarization state of the illumination light was controlled well. The instrument is as small and light-weighted as can be installed on board.
The performances of different overlay mark types at 65nm node on 300-mm wafers
The integrated circuit (IC) manufacturing factories have measured overlay with conventional "box-in-box" (BiB) or "frame-in-frame" (FiF) structures for many years. Since UMC played as a roll of world class IC foundry service provider, tighter and tighter alignment accuracy specs need to be achieved from generation to generation to meet any kind of customers' requirement, especially according to International Technology Roadmap for Semiconductors (ITRS) 2003 METROLOGY section1. The process noises resulting from dishing, overlay mark damaging by chemical mechanism polishing (CMP), and the variation of film thickness during deposition are factors which can be very problematic in mark alignment. For example, the conventional "box-in-box" overlay marks could be damaged easily by CMP, because the less local pattern density and wide feature width of the box induce either dishing or asymmetric damages for the measurement targets, which will make the overlay measurement varied and difficult. After Advanced Imaging Metrology (AIM) overlay targets was introduced by KLA-Tencor, studies in the past shown AIM was more robust in overlay metrology than conventional FiF or BiB targets. In this study, the applications of AIM overlay marks under different process conditions will be discussed and compared with the conventional overlay targets. To evaluate the overlay mark performance against process variation on 65nm technology node in 300-mm wafer, three critical layers were chosen in this study. These three layers were Poly, Contact, and Cu-Metal. The overlay targets used for performance comparison were BiB and Non-Segmented AIM (NS AIM) marks. We compared the overlay mark performance on two main areas. The first one was total measurement uncertainty (TMU)3 related items that include Tool Induced Shift (TIS) variability, precision, and matching. The other area is the target robustness against process variations. Based on the present study AIM mark demonstrated an equal or better performance in the TMU related items under our process conditions. However, when non-optimized tungsten CMP was introduced in the tungsten contact process, due to the dense grating line structure design, we found that AIM mark was much more robust than BiB overlay target.
Monitoring system of effective exposure dose and focus
Sachiko Yabe, Akiyuki Minami, Takashi Nasuno, et al.
We developed a process monitoring system that calculates the effective dose and focus of device wafers using an overlay metrology tool. The effective dose is monitored by measuring the overall width of the fine line-and-space (LS) patterns, the duty ratio of which gradually changes. The effective focus is monitored by measuring the line-end-shortening of the printed line patterns. We used newly designed focus-monitor marks along with conventional LS marks for line-end-shortening. The new marks, which can be measured as an overlay, showed better reproducibility than conventional marks. We calculated the focus shifts caused by variations in the layer structure of device wafers by measuring shots that had been intentionally defocused. Using the defocused shots, we were able to improve the accuracy of our focus-shift calculations. The focus monitor displayed various properties depending on the measurement methods, the design of the marks, and device-layer conditions. Therefore, the mark design must be optimized to each device layer. We demonstrated the accuracy of this monitoring system by applying it to the various layers in a 65-nm-node Cu/low-k interconnect process.
Spectroscopic ellipsometer with the smallest measurement spot
Akihiro Kinoshita, Fujikazu Kitamura, Masahiro Horie, et al.
Shrinking design rules have decreased film thickness specifications and are creating challenges as multi-layer structures and new materials are introduced. Film thickness measurement is one of these challenges that must be addressed. Not only are the structures and materials challenging to measure but in the 300mm wafer process it is required to implement these measurements on small test pads to eliminate dummy wafers and save costs. To meet these requirements, Dainippon Screen Mfg. Co., Ltd. has developed a spectroscopic ellipsometer, RE-3200, which can measure several parameters with a spot size down to 30um. This state-of-the-art film thickness measurement tool has a unique design to support spectroscopic ellipsometry and also optical interferometry and monochromic ellipsometry(optional). The advantages include high long-term repeatability, high accuracy, short measurement time, and low COO. The simple optics does not require any components between polarizers and ensure high optical efficiency and stability. The high-precision aspheric mirrors are developed specifically for this system and allow the measurement of the small areas on the device. In addition, the use of high-contrast polarizers minimizes measurement errors. The RE-3200 system is also strongly recommended for scatterometry applications. In this paper, the optical design and performance of RE-3200 including measurement results will be presented.
Characterization and improvement of unpatterned wafer defect review on SEMs
Defect Scatter Analysis (DSA) provides a fast, accurate method for evaluating the random errors made by defect scanners when a wafer is loaded, aligned, scanned, and unloaded multiple times. The DSA tool includes a 200 mm or 300 mm wafer that has a series of patterned defects; the defects can be located by the inspection scanner and the patterns detected even if there are many other defects present. The DSA software analyses multiple scans, locates the pattern at each site for every scan, and compares the distributions of the pattern at each site to the average reported position, as well as to the known site position. Plots of the predicted positions show the scatter in terms of x, y and rotational errors. The composite plot for all scans and sites represents a figure of merit for the scanner. By itself, DSA is useful for evaluating which scanner makes the smallest set of random errors, and the effects of modifying a scanner to minimize these errors. In addition, the software also generates a defect file in the same format as the input files, showing the average reported positions for the sites of the programmed defects. This file and the wafer provide the best input to the JEOL in-fab microscopes for the LMLS/SSBWA procedures that correct for most of the systematic differences between the predicted positions and the defect positions as relocated on the SEMs1. The tool consists of one 200mm or 300mm wafer and the analysis software, provided in both UNIX (either 9.05 or 10.2) and Windows 2000. The software will accept defect files in standard KLA, Tencor, and Inspex formats.
SEMATECH’s world class EUV mask blank metrology toolset
Soon-Cheon Seo, Jan Cavelaars, John Maltabes, et al.
One of the main challenges for EUV mask blank metrology is that most tools are designed for either; 1) wafer handling, 2) off-line characterization, or 3) destructive failure analysis. Few clean room-compatible metrology tools for full EUV mask blanks are commercially available. At International SEMATECH's EUV Mask Blank Development Center (EUV MBDC), in Albany NY, we have partnered closely with both metrology and tool integration vendors to modify tools in order to meet stringent EUV requirements. We have succeeded in integrating SMIF-based mask handling metrology tools in a clean room environment. We have demonstrated seamless mask blank defect identification and characterization by coordinate mapping and transfer from our defect inspection tool to both AFM and FIB-SEM/EDX. Additionally, we have successfully integrated these tools with a software package specifically designed for mask yield improvement- the first deployment of its kind targeted specifically for EUV mask defect reduction. The net result is a state-of-the-art EUV metrology toolset capable of identifying, characterizing, and correlating defects on both EUV mask blanks and bare substrates. The facility is currently capable of analyzing the defects as small as 50 nm, with 30 nm capability forecasted in 2006.
Dual side lithography measurement, precision, and accuracy
Daniel Schurz, Warren W. Flack, Robert L. Hsieh
Advances in micromachining (MEMS) applications such as optical components, inertial and pressure sensors, fluidic pumps and radio frequency (RF) devices are driving lithographic requirements for tighter registration, improved pattern resolution, and improved process control for pattern placement on both sides of the substrate. Consequently, there is a similar increase in demand for advanced metrology tools capable of measuring the Dual Side Alignment (DSA) performance of lithographic systems. The requirements for an advanced DSA metrology tool include the capability of measuring points over the entire area of the substrate, and of measuring a variety of different substrates and film types and thicknesses. This paper discusses the precision and accuracy of an advanced DSA metrology system, the UltraMet 100. This system offers DSA registration measurement at greater than 90% of a wafer's surface area, providing a complete front to back side registration evaluation across a wafer. The system uses top and bottom cameras and a pattern recognition system that allow simultaneous target capture and measurement on both substrate surfaces. Because no industry standard has been established to determine the accuracy of dual side pattern metrology, an accuracy gauge was designed for this study that allows both top and bottom cameras to simultaneously measure offsets between two targets on one substrate surface. In this paper, an accuracy gauge is measured on the UltraMet 100 and the results are compared to measurements taken on a reticle X/Y pattern placement metrology tool calibrated to a NIST traceable standard. In addition, tool performance is analyzed in terms of system repeatability and reproducibility.
Performance of measuring contact holes using the Opti-Probe 7341 3-D RT/CD technology
Spectra of contact hole arrays with target diameters ranging from 106 to 131 nm and pattern pitch ranging from 220 to 300 nm are taken from an off-axis (65°) rotating compensator spectroscopic ellipsometry (RCSE).[1] 3-dimensional finite difference (FD3D) model developed by H. Chu,[2] is applied in the studies. To ensure accuracy of optical dispersion of each film, the simultaneous use of angle resolved beam profile reflectometry (BPR), broadband spectroscopic reflectometry (BB), and SE of an Opti-Probe 7341 are used for characterizing of the resist and BARC films. In particular, The extracted n&k dispersions are used to model the contact hole SE data using Therma-Wave's proprietary 3-dimensional RT/CD technology.[3,4] The performance of stability of both static and dynamic repeatability, uniformity, and correlation to other independent technology (i.e., SEM) will be presented in this paper.
Calibration of a dual probe NanoCaliper AFM for CD metrology
Paul F. McClure, Vladimir Mancevski
Results are presented which demonstrate the feasibility of calibrating a critical-dimension atomic force microscope (CD-AFM) without the use of a reference artifact in such a way that high-precision critical dimensions can be generated independently of changes in probe tip shape (including effects of tip wear), and in the presence of surface force uncertainties and stage uncertainties. Experiments were conducted using a dual-probe NanoCaliper CD-AFM architecture. The results support an estimate of 0.2 nm for single-point (static) repeatability of tip-tip calibration achievable in a commercial tool. A comprehensive method developed for calibration and measurement using a dual probe system can remove other dimensional drifts that have effects similar to tip wear. We also found that three different "calibration events" can potentially be used to compute nondimensional interaction strengths that determine a surface force bias needed to compute CDs from noncontact mode scans. Verification of this predicted result will make it possible to build a dual probe system that is self-calibrating not only with respect to tip length and other dimensional drift, but also with respect to tip radii, cantilever stiffnesses and other parameters. We have also demonstrated the feasibility of a new diffraction-based method for directly measuring the cantilever-edge-to-cantilever-edge separation and showed that this method is capable of improving CD measurement precision still further.
Information server test case: the effects of probe current stability on CD-SEM measurement
Susan Redmond, Ron Weller, Richard Tomasco, et al.
There are many factors to consider when monitoring the stability of CD-SEM tools in the semiconductor manufacturing environment. With decreasing feature size and high aspect ratio dimensions, metrology tool calibration, stability, monitoring and matching play a more significant role in obtaining consistent CD measurements. It is not easy to separate the cause of outlier CD measurements. Tool owners need to consider all possible factors when matching across toolsets. For example, the tool should demonstrate repeatable electrical beam alignments in order to minimize the contribution of CD-SEM drift to measurement error. In order to overcome error in CD measurement caused by CD-SEM tool drift, it is important to monitor critical tool parameters that can produce shifts in CD measurements. Probe current is a critical CD-SEM parameter that affects CD measurement precision. Drifts in probe current can be the result of instabilities in the emission current, accumulation of contamination on the objective aperture, or misalignment of the SEM optics. Since measurement precision is impacted by drifts in probe current, Hitachi and HP began monitoring probe current on HP’s S9000 CD-SEMs in an effort to understand Ip drift effect on CD measurements. HP and Hitachi utilized an Information Server system, which was developed by Hitachi High Technologies America, Inc., to facilitate data collection. Information server is a web-based program which will archive and monitor many parameters of Hitachi CD-SEM tools. Hitachi Applications Engineers worked with HP Metrology Engineering to put the capability in place. In this paper, we will address probe current instability and its impact on CD measurements. We will explore the relationship between probe current, CD data, and errors in pattern recognition caused by probe current and alignment drift.
Advances in phase-grating-based wafer alignment systems
Stefan Keij, Irwan Setija, Gerbrand van der Zouw, et al.
The wafer alignment system plays a key role in the reduction of product overlay. This reduction allows shrink of current products and tighter overlay design rules on next generation products. Further reduction of product overlay numbers requires continuous research in the field of interaction between wafer mark and alignment sensor. We explain how this research and various IC manufacturing requirements drive wafer alignment system design and how these requirements are met in two new phase-grating based wafer alignment concepts. This paper describes and compares these two new concepts that extend ASML's current ATHENATM alignment system. The first concept we describe is an extension of ATHENATM which uses a smaller alignment illumination beam. The second concept adds a self-referencing interferometer, combined with a high numerical aperture objective. Each concept targets a specific range of performance parameters, such as greater mark layout flexibility and the possibility to use more than two illumination wavelengths. We will show how both concepts clearly add to the existing ATHENATM sensor performance; focus-tilt sensitivity reduces with a factor of 5 to 20 for concept A and B respectively. Both concepts will be further developed.
A super-precision evaluation method of ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system
A super-precision evaluation method of the coefficient of thermal expansion (CTE) of ultra-low expansion glasses was developed using the line-focus-beam ultrasonic material characterization (LFB-UMC) system. Evaluation was demonstrated for TiO2-SiO2 glass. The sensitivity and resolution in the velocity measurement of leaky surface acoustic waves (LSAWs) in CTE were estimated to be 4.40 (ppb/K)/(m/s) and ±0.77 ppb/K for ±2σ (σ: standard deviation) at 225 MHz. LSAW velocity differences caused by different TiO2 concentrations and distributions or striae in the specimens were successfully detected and evaluated, providing two-dimensional information under the nondestructive and noncontact measurement condition. This ultrasonic method is much more accurate than conventional methods, for evaluating CTE on the surface of ultra-low-expansion glass materials needed for extreme ultra-violet lithography (EUVL) systems.
From CD to 3D sidewall roughness analysis with 3D CD-AFM
The continuous shrink of device's dimensions has reached such level that parameters such as line edge and line width roughness (LER and LWR) become non negligible for advance process development. Therefore, it is now mandatory to work with 3D metrology techniques in order to fulfil roadmap requirements. The goal of this paper is to show the potentiality of the CD-AFM as a real predictable 3D metrology in order to accelerate advance devices development by understanding and solving the strong limitations at a certain point of each technological steps (lithography, front-end etching...). Line edge and line width roughness are part of this future 3D metrology.
Modeling of wafer alignment marks using geometrical theory of diffraction (GTD)
Chin Boon Tan, Swee Hock Yeo, Andrew Khoh
In photolithography, alignment is a critical step prior to exposure of wafers in the scanner. When the alignment light strikes onto the wafer alignment marks, the backward diffracted waves are collected and analyzed as alignment signal. In this case, robustness of the marks is very important as it determines the quality of the signal. Poor alignment signal results in unacceptable overlay which requires rework of the wafers. Wafer alignment marks are usually grating on the substrates which are formed during different masking layers. In this paper, modeling of wafer alignment mark is performed using Geometrical Theory of Diffraction (GTD). The model is developed to investigate light scattering problem in alignment marks particularly at the sidewall. GTD can be extended and applied in such a study due to the existing of wave-like properties of the diffracted components. The main interest here is to find diffraction coefficient that can be fit into the model to determine the backward diffracted waves. With this, different arbitrary angle of the mark sidewall can be studied besides a perfect step grating. The results also look into different consequences of marks, such as grating depth.
Comparisons of overlay measurement using conventional bright-field microscope and angular scatterometer
As overlay tolerances of microlithographic technology become increasingly severe, conventional bright-field metrology systems are limited by image resolution and precision. Scatterometer (angular scatterometer or spectroscopic reflectometer, for example) has the advantages of good repeatability and reproducibility, and is proposed as an alternative solution for overlay metrology. Previous studies have applied a spectroscopic reflectometer, which is as function of incident wavelength, to overlay measurement. This work investigated overlay measurement by using an angular scatterometer, which is as function of incident angle. A focused laser spot was incident on linear grating, an overlay target. An angular signature, a 0th-order reflective light beam, scattered from linear grating was measured when the incident and reflective angles were changed simultaneously. The overlay target consists of two linear gratings located on two different layers of a stacked structure, and the overlay error is the misalignment between these two different layers. The measured results using angular scatterometer (also known as the diffraction-based method) are compared with using the bright-field microscope (also known as the image-based method), which use a bar-in-bar target as an overlay target. Statistical data sets demonstrate that angular sctterometer has nearly one order better of repeatability and tool induced shift than conventional bright-field microscope. Additionally, a series of different parameters of overlay targets, such as different pitches, line-to-space ratios, and stacked structures is designed and manufactured. The sensitivity of overlay measurement of various linear grating targets is also measured and discussed.
Development of voltage contrast defect inspection technique for line monitoring 300mm ULSI hp90 logic contact layer
Hiroyuki Hayashi, Yuji Fukunaga, Masayoshi Yamasaki, et al.
We had investigated the voltage contrast defect inspection technique using 300mm wafer size hp 90nm contact logic devices for line-monitoring implementation. The investigation was carried out on the basis of signal intensity analysis of the voltage contrast image in relation to landing energy, charge control voltage, beam current, diffusion types and charge quantities of wafer surface. As a results, it was determined that voltage contrast was not only dependent on the balance between the surface potential of the Si-oxide surface due to charge-up and surface potential of contact, but it was also dependent on the balance between the surface potential of contact and each diffusion layer. By adjusting the parameters of landing energy, charge control voltage and beam current on basis of D.O.E. (Design of an experiment using 3 x 3 parameters) for contact logic device inspection, we were able to optimize EB condition for the best sensitivity, namely, 100% capture rate, 20% nuisance rate, and the best signal intensity of voltage contrast defect. In the next step, we attempted to reduce the nuisance rate. We adopted on i-ADC (In-line automated defect mode clas sification) function, namely, a nuisance filter. By adjusting the parameters of intensity, polarity, and size of nuisance defects, we were able to confirm the reduction of the nuisance rate less than 0.5%. Using this technique, the inspection of 300mm wafer size hp 90nm contact logic production wafer for line monitoring was carried out. In the case of line monitoring, the required inspection performances were robustness between different lots, and fast-throughput. On the basis of the results of the inspection, we confirmed that a nuisance rate of less than 0.5% between different lots could be achieved by using these techniques (optimized EB condition and nuisance filter).Robustness of inspection between different lots was achieved. In a further step, we attempted to achieve fast throughput. The target inspection time for line monitoring was set at about 1 hour /wafer. By adjusting the parameters of pixel size and sampling of die row on the wafer, we obtained optimized condition with fast throughput. We confirmed that an inspection time of about 1 hour /wafer could be achieved with 25% sampling of die row. The effectiveness of line-monitoring for inspection of electric failure mode, which can not be detected by the optical inspection system, was confirmed. The contribution to fast ramp-up is as follows. The shorter turnaround time (TAT) of electrical contact failure mode analysis, which can be reduced by about 1 month compared with the time required for E-Test, was confirmed.
Optical digital profilometry (ODP) feasibility studies for CD control in lithography applications
Masahiro Yamamoto, Shoichi Iwabuchi
A series of experiments were performed to determine if Tokyo Electron's (TEL) Optical Digital Profilometry (ODP) scatterometry technology could meet the requirements for CD (Critical Dimension) control in lithography applications. ODP technology, using broadband optical spectra taken from grating structures, is non-destructive, and in addition to CD, provides sidewall angle (SWA), profile and film thickness information in a single measurement. ODP's output was compared to CD-SEM (Critical Dimension-Scanning Electron Microscopy) to develop the correlation of different metrology techniques. ODP was able to demonstrate excellent correlation to CD-SEM and provide robust uniformity measurement with high repeatability. ODP measurements were also used to optimize within-wafer CD uniformity by controlling the scanner process parameters. The high resolution of CD and SWA data taken by ODP demonstrated high sensitivity to scanner process control and a significant reduction in CD variation. From the experimental results, the ODP technology was well qualified for CD control in lithography applications.
Repair simulation with image processing and artificial intelligence
Yo-Han Choi, Moon-Kyu Sung, Sang-Hyun Lee, et al.
Defect-free mask is a dream of mask makers. Repair technology [1] that removes defects on Att. PSM is getting more attentions than ever. Therefore the fast and precise verification of repaired results is highly required. Most confirmation methods are carried out by using the inspection system because it is faster than AIMS to verify the repaired results. However, the accuracy of the verification using the inspection system cannot be compared to it with AIMS in the view of printability. In this paper, the results of optical simulation using top-down repair image are compared with those of AIMS for rapid confirmation of repaired results with competitive accuracy. Also, neural network which can compute the complex non-linear relationships easily are used to increase the accuracy of repair simulation.
Automatic classification of microlithography macrodefects using a knowledge-based system
Michael Darwin, Pinar Kinikoglu, Yongqiang Liu, et al.
The benefits of automatic classification of microlithography defects include fast and reliable rework decisions, improved root-cause analysis, and more consistent SPC data that significantly enhances yield in the lithography cell. An adaptive knowledge-based system has demonstrated the ability to accurately classify defects more than 85% of the time and is sufficiently versatile to classify new defect modes that will accompany advanced lithography processes. The knowledge-based system defines each class of defects with mathematical descriptors that include categories such as size, intensity, edge sharpness, color, etc. New defect classes can be defined with as few as three to five images of the specific defect. All defect classes are stored in the knowledge-base as rule vectors consisting of values for each descriptor. Different defect classes can share many common descriptors. However, as long as there is at least one descriptor that differentiates them, the defect class can be deemed unique. This method provides manufacturers the ability to define defects according to their existing rules and to define new defect types as they occur.
Camera correlation focus: an image-based focusing technique
Greg Reynolds, Mike Hammond, Lewis A. Binns
Determining the focal position of an overlay target with respect to an objective lens is an important prerequisite of overlay metrology. At best, an out-of-focus image will provide less than optimal information for metrology; focal depth for a high-NA imaging system at the required magnification is of the order of 5 microns. In most cases poor focus will lead to poor measurement performance. In some cases, being out of focus will cause apparent contrast reversal and similar effects, due to optical wavelengths (i.e. about half a micron) being used; this can cause measurement failure on some algorithms. In the very worst case, being out of focus can cause pattern recognition to fail completely, leading to a missed measurement. Previous systems to date have had one of two forms. In the first, a scan through focus is performed, selecting the optimal position using a direct, image-based focus metric, such as the high-frequency component of a Fourier transform. This always gives an optimal or near-optimal focus position, even under wide process variation, but can be time consuming, requiring a relatively large number of images to be captured for each site visited. It also requires the optimal position to be included in the range of the scan; if initial uncertainty is large, then the focus scan needs to be longer, taking even more time. The second approach is to monitor some property which has a known relationship to focus. This is often calibrated with respect to a scan through focus. On subsequent measurements the output of this secondary system is taken as a focus position. This second system may be completely separate from the imaging system; the primary requirement is only that it is coupled to the imaging system. These systems are generally fast; only one measurement per site is required, and they are typically designed so that only limited image / signal processing is required. However, such techniques are less precise or accurate than performing a scan through focus, and they are also susceptible to effects caused by variations of the wafer under test, e.g. variations in stack depth. A fast, precise system for measuring focus position, using the imaging optics, has been developed. This new system achieves better accuracy than previous indirect techniques, significantly faster than executing a scan through focus. Its output is linear with respect to focus position, and it has a very high dynamic range, providing a direct estimate of focal position even at large focus offset. It also has an advantage over indirect systems of being an integral part of the imaging system, eliminating calibration drift over extended periods. In this paper we discuss the mathematical background, optical arrangement and imaging algorithms. We present initial performance results, including data on repeatability and time taken to measure focus.
Advances in hardware, software, and automation for 193nm aerial image measurement systems
Axel M. Zibold, R. Schmid, A. Seyfarth, et al.
A new, second generation AIMS fab 193 system has been developed which is capable of emulating lithographic imaging of any type of reticles such as binary and phase shift masks (PSM) including resolution enhancement technologies (RET) such as optical proximity correction (OPC) or scatter bars. The system emulates the imaging process by adjustment of the lithography equivalent illumination and imaging conditions of 193nm wafer steppers including circular, annular, dipole and quadrupole type illumination modes. The AIMS fab 193 allows a rapid prediction of wafer printability of critical mask features, including dense patterns and contacts, defects or repairs by acquiring through-focus image stacks by means of a CCD camera followed by quantitative image analysis. Moreover the technology can be readily applied to directly determine the process window of a given mask under stepper imaging conditions. Since data acquisition is performed electronically, AIMS in many applications replaces the need for costly and time consuming wafer prints using a wafer stepper/ scanner followed by CD SEM resist or wafer analysis. The AIMS fab 193 second generation system is designed for 193nm lithography mask printing predictability down to the 65nm node. In addition to hardware improvements a new modular AIMS software is introduced allowing for a fully automated operation mode. Multiple pre-defined points can be visited and through-focus AIMS measurements can be executed automatically in a recipe based mode. To increase the effectiveness of the automated operation mode, the throughput of the system to locate the area of interest, and to acquire the through-focus images is increased by almost a factor of two in comparison with the first generation AIMS systems. In addition a new software plug-in concept is realised for the tools. One new feature has been successfully introduced as "Global CD Map", enabling automated investigation of global mask quality based on the local determination of wafer level CD at multiple pre-defined measurement points on the mask. This feature supports both reticle manufacturing in mask shops and lithographic process analysis in the Wafer Fab environment. Based on the newly developed software the AIMS can speed up mask development in both the design process and OPC verification.
Optical metrology of patterned magnetic structures: deep versus shallow gratings
Roman Antos, Martin Veis, Eva Liskova, et al.
Spectroscopic ellipsometry (SE) and magneto-optical (MO) spectroscopy are applied to analyze three sets of shallow magnetic gratings. The experimental data of SE are used to extract geometrical parameters of several samples. A half-micrometer thick transparent interlayer present between the periodic magnetic wires and the substrate in one of the sets of the samples is used to increase the sensitivity of SE and MO measurements. Thanks to this sensitivity the geometrical parameters can be extracted together with the material composition of the magnetic film. In order to interpret the magneto-optical Kerr effect (MOKE) measurement, three theoretical approaches are used in the simulations, the rigorous coupled-wave method (CWM), the local mode method (LMM), and a new approach based on comparing CWM with LMM with defining a 'quality factor' of the grating with respect to the wire edges. Using the MOKE spectra in the 0th and -1st diffraction orders, one set of the samples made with a protection capping is analyzed with respect to the native-oxidation process. The quality factor of these samples is extracted from MOKE in the -1st diffraction order for p-polarized incidence. The monitoring system based on both SE and MOKE is rated as highly sensitive and precise, and with accurate determination of the optical and magneto-optical constants it could by applied in multi-parameter fitting.
Comparison of overlay metrology with analogue and digital cameras
Timothy C. Rigden, Andrew J. Soroka, Lewis A. Binns
Overlay metrology is a very demanding image processing application; current applications are achieving dynamic precision of one hundredth of a pixel or better. As such it requires an accurate image acquisition system, with minimal distortions. Distortions can be physical (e.g. pixel size / shape) or electronic (e.g. clock skew) in nature. They can also affect the image shape, or the gray level intensity of individual pixels, the former causing severe problems to pattern recognition and measurement algorithms, the latter having an adverse effect primarily on the measurement itself. This paper considers the artifacts that are present in a particular analogue camera, with a discussion on how these artifacts translate into a reduction of overlay metrology performance, in particular their effect on precision and tool induced shift (TIS). The observed effects include, but are not limited to, banding and interlacing. This camera is then compared to two digital cameras. The first of these operates at the same frame rate as the analogue camera, and is found to have fewer distortions than the analogue camera. The second camera operates with a frame rate twice that of the other two. It is observed that this camera does not exhibit the distortions of the analogue camera, but instead has some very specific problems, particularly with regards to noise. The quantitative data on the effect on precision and TIS under a wide variety of conditions, is presented. These show that while it is possible to achieve metrology-capable images using an analogue camera, it is preferable to use a digital camera, both from the perspective of overall system performance, and overall system complexity.
High speed reflectometer for EUV mask-blanks
C. Wies, R. Lebert, B. Jaegle, et al.
AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproduci-bility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1×1 mm2, 2000 spectral chan-nels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are ac-cumulated in about 20 s, providing statistical reproducibility below 0.2 % RMS. The total uncertainty is below 0.5 % absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by refe-rence to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.
Removal of low concentrations of acid gases: issues and solutions
Andrew J. Dallas, Lefei Ding, Jeremy Exley, et al.
Part per billion concentrations of acid gases such as SOx and NOx have been detected in both high purity gases and CDA lines. These contaminants can have deleterious effects on a number of high purity applications such as the optics found in lithography equipment steppers, scanners, and inspection tools. In addition, acidic gases have also been shown to reduce the life of masks and reticles, decrease fuel cell output due to catalyst poisoning, and cause hard disk drive crashes due to surface contamination and corrosion. Consequently, acid gas control in these applications has become a critical part of the required filtration system. SOx concentrations are typically used as the baseline for acid gas filter exposure guidelines and performance testing. However, this approach has been shown to provide poor filter life predictions, which has been attributed to the presence of other acidic and organic contaminants that compete with SOx for the available adsorption sites. Equally important, the type of sorbents and methods used to control acid gases can significantly affect the ability to remove SOx. In this work we will compare the performance of various sorbents, structures, and methods for the removal of SOx and NOx.
Effective control of photomask surface chemical residuals through thermal treatment
We investigated the control of residual ions on the mask surface and the phase/transmission change rate by using thermal treatment after a conventional cleaning process. We hypothesized that the remaining sulfuric ions on the mask surface could combine with other ions and produce compounds during the thermal treatment. These compounds are easily removed by a hot D.I water rinse. Our study shows that the amount of remaining sulfuric ions is 250ng/mask when the mask has been thermally treated. The amount of sulfuric ions is substantially reduced compared to the results of other cleaning processes. Additionally we have found that the thermal treatment can be reduced varying the phase/trans value according to the cleaning cycle and the variation was stable even with a higher concentration of SC-1 solution.
Process Control I: OPC/RET
icon_mobile_dropdown
Application of optical CD for characterization of 70nm dense lines
CD and line shape control face tougher technology requirements as the drive towards feature size reduction continues down to the 70nm regime. This poses new challenges not only for the lithography process, but also the metrology tool used to qualify the process. Smaller CDs mean smaller tolerances which puts a premium on the ability of metrology to precisely measure these dimensions. Also the trend towards more sampling and entire wafer uniformity mapping to increase yields makes sampling time a consideration. In this paper, we discuss Nanometrics’ Optical CD technology and its application towards the qualification of a scanner exposure system at 140nm pitch resolution (70nm line-spaces). This OCD technique uses normal incidence polarized reflectometry and a form of the Rigorous Coupled-Wave Analysis (RCWA) to do real-time regression. It is a fast and non-destructive method of measuring grating structures which provides complete interfield and intrafield spatially distributed profiles for all fitted OCD parameters. Analysis of spatially distributed data is critical in separating the sources of error that contribute to scanner qualification as a complete litho system Wafers with 70nm dense (L/S=1:1) horizontal and vertical lines of resist on BARC were measured for this study. The fields on these wafers were exposed under various defocus conditions, producing small to large changes in the grating profiles. OCD measurements show good sensitivity to all fitted parameters; CD, CD profiles and film thickness. The focus fingerprint is clearly identified in a wafer uniformity map, amid other inter-field and intra-field contributions. Dynamic repeatability and total test reproducibility metrics are introduced and discussed to quantify the reliability and resolution of the OCD to measure these lines.
Poster Session
icon_mobile_dropdown
Critical issues in quantifying line edge roughness
A. Nikitin, A. Sicignano, D. Yeremin, et al.
The problem of quantifying LER in the semiconductor industry has become critical with sub-100nm node manufacturing. However, routine methods for LER measurement to meet the needs of industry have not been reported. Even the definition for LER has not been defined unambiguously. Also, the length of the photoresist structure, on which LER is measured, has not bee standardized. Meanwhile, demands for precision in LER calculations have been put forward without accounting for the statistical nature of this parameter. In addition, the algorithms used for feature edge localization when performing LER measurements frequently have free parameters which makes LER estimation ambiguous and does not allow LER comparisons of the same feature. In particular, without taking into account the influence of signal noise in the SEM video, the LER measurements obtained will have contributions from both the measured feature and measuring tool (SEM). The manner in which this measurement is done results in LER values that exceed the true LER. Moreover, when measured objects have spect ratios exceeding three, it is not clear where along the cross-section height of the object-bottom, top, or some intermediate position-correspond to the measured values. The above issues make the interpretation of obtained results very difficult, and significantly reduces the reliability and value of LER measurement results present in the referenced literature. Nanometrology has developed a new concept for LER measurements that is free of many of the disadvantages mentioned above. It is based on the definition of LER as "a standard deviation of the factual edge position on SEM scan lines from an approximated straight line". Nanometrology's use of a patented algorithm for edge localization of 3D objects results in the measurement of the bottom CD of photoresist structures. Our algorithms do not have free parameters. These algorithms have been incorporated into a CD measurement software package called CD-LER.
Novel two channel self-registering integrated macro inspection tool
Arun A. Aiyer, Mark Meloni, Andrew Kueny, et al.
After Develop Inspection (ADI) of every wafer in a lot is quite appealing, since that provides an opportunity to rework defective wafers instead of scrapping them later on. To achieve this level of inspection in manufacturing, automated macro inspection tools with higher throughput, better detection sensitivity and repeatability are needed. Moreover, such an inspector will have to be located within the Coater Developer track. To have a smaller footprint inspector, one might consider spiral-scan of the wafer surface using an off-axis illumination beam. In product wafers, one comes across Manhattan geometry with L/S patterns that are usually smaller than or comparable to the illumination wavelength. Since the reflectance of such a surface depends on the incident polarization and the pattern orientation with respect to the plane of incidence, the acquired wafer surface image will have dark and bright regions. Occurrence of this type of inhomogeneity in the surface image is referred to as the bow tie effect. The bow tie feature degrades S/N ratio of the acquired image and therefore reduces the inspector’s detection sensitivity. In this paper we will describe a macro inspection tool based on a fast spiral-scan technique that eliminates the bow tie effect by propagating the illumination beam in two orthogonal planes of incidence. In addition, by employing two counter-propagating beams, the tool is shown to have the ability to generate real time defect images that are immune to noise from die-to-die thickness variations, die-to-die alignment errors, and under layer contributions.
Strained-silicon metrology using a multi-technology optical system
A selection of thin Si layers grown epitaxially upon thick relaxed SiGe films were measured using the combination of optical metrology techniques available on the Opti-Probe 7341 system. The techniques used included in particular (i) angle resolved laser Beam Profile Reflectometry (BPR) with S and P polarization, (ii) Broad-band visible-DUV spectrophotometry (BB), and (iii) spectroscopic ellipsometry (SE). The measured parameters included the Ge-content of the relaxed SiGe layer, the thickness and optical dispersion of the thin Si layer, and the thickness of the native oxide layer on the strained Si. Strain in the Si layer can be recognized by a significant downwards shift in the energy of the E1 peak and in the magnitude of the E2 peak in the ε2 dispersion curve, which is consistent with theoretical predictions when the strain in the layer is tensile. The thickness measurements of the Si layer made by the Opti-Probe were found to be in agreement with subsequent SIMS analysis to within 5Å for the strained-Si layer. Measurement precision for thickness was <1.5Å (3σ). for the strained-Si layer. Overall, the results show that a reliable and stable measurement of Strained-Si is possible using optical metrology.
Process Control I: OPC/RET
icon_mobile_dropdown
The sidewall angle dependence of CDSEM measurements and its impact on CD process control
Justin J. Hwu, Homayoun Kiamanesh, Sukhbir Dulay, et al.
The CD measurements from CDSEMs is a two-step process, the first being the pixel based electron emission signal intensity profile generation and the second the algorithm treatment on the generated intensity profile for the dimension determination. Secondary electron emission is currently the most common choice for signal intensity profile generation due to its relatively high signal-to-noise ratio at low landing energy range and the maturity of detector designs than the backscattered electron collections. It is known that the secondary electron emission depends on feature topography. The pixel based intensity profile bears the signature of feature topography. Therefore, the following algorithm processing for CD derivation cannot be free from the feature topographic influence. In this study, we elect isolated resist line as our feature with the resist sidewall angle the major topographical contributor. A set of FEM wafers are exposed at a fixed CD node and the process window is identified for sampling range identification. A profile CDAFM is used as a reference tool for resist height, CD, and sidewall angle measurement. The range of sidewall angle variation in the process window is identified by CDAFM as the reference. Various locations in the process window are measured by CDAFM and CDSEM, and the ratios of the same metrology information are plotted as function of sidewall angle deviation from vertical profile. In this paper, the sidewall angle dependence of derivative based CDSEM algorithm will be identified and the impact of CD measurement dependence on sidewall angle on process control will be discussed. This paper aims to generate a guide for process engineers to perform better process control through the quantification of sidewall angle influence. This paper will also address the need of the development of new CDSEM algorithm which compensates sidewall angle influence.
Poster Session
icon_mobile_dropdown
Cost effective CD control for DUV implant layers using the Archer MPX focus-exposure monitor
CD control is one of the main parameters for IC product performances and a major contributor to yield performance. Traditional SEM metrology can be a challenge on particular layers due to normal process variation and has not proven to provide sufficient focus monitoring ability. This in turn causes false positives resulting in unnecessary rework, but more importantly missed focus excursions resulting in yield loss. Alexander Starikov, Intel Corporation, alludes to the fact that focus and exposure "knobs" account for greater than 80% of CD correctible variance1. Spansion F25 is evaluating an alternative technology using an optical method for the indirect monitoring of the CD on the implant layer. The optical method utilizes a dual tone line-end-shortening (LES) target which is measured on a standard optical overlay tool. The dual tone technology enables the ability to separate the contributions of the focus and exposure resulting in a more accurate characterization of the two parameters on standard production wafers. Ultimately by keeping focus and exposure within acceptable limits it can be assumed that the CD will be within acceptable limits as well without the unnecessary rework caused by process variation. By using designed experiments this paper will provide characterization of the LES technique on the implant layer showing its ability to separate focus-exposure errors vs. the traditional SEM metrology. Actual high volume production data will be used to compare the robustness and sensitivity of the two technologies in a real life production environment. An overall outline of the production implementation will be documented as well.
Critical dimension uniformity control with combined ellipsometry and reflectometry
In the 90nm node and beyond, Critical Dimension Uniformity (CDU) control is essential for today's high performance IC devices. The desired control of CDU is just under 2nm (3 sigma) across a 300mm wafer with 577 die. In this study we used an Opti-Probe 7341 RT/CD system that combines broadband (190-840 nm) spectroscopic ellipsometry (SE), spectroscopic reflectometry (BB), single wavelength (673 nm) beam profile reflectometry (BPR) and single wavelength (633nm) absolute ellipsometry (AE). All of the above technologies were used to characterize the optical dispersions of the individual films in the stack of interest, resist/barc/sion/poly/oxide/silicon. We then used these dispersion results and the SE and BB technologies to characterize the CDU of the patterned wafer. With the SE technology we measured CDU's in the range of 1.9-2.0 nm compared with BB measured CDU's in the range of 4-5 nm, both SE and BB wavelength were in the range of 240 nm-780 nm. However, if the wavelength range of SE and BB were extended to 190nm-840 nm, the CDU with SE stayed at the same level while that of BB reduced a factor of 2 to about 2.0-2.5 nm.
Measurement of deep silicon trench profile using normal incidence optical CD metrology
Zhuan Liu, Xiaodong Zhang, Jiangtao Hu, et al.
Deep silicon trench technology is essential for fabricating vertical microelectronic devices, which is gaining increasing popularity due to the ever shrinking device dimensions. The control of critical dimensions (CD) and sidewall profiles of silicon trenches is critical to the device performance and production yield, posing a need for in-line metrology for process control. The widely used cross-section scanning electron microscopy (X-SEM) is not suitable for in-line monitoring because of its destructive nature and long turn-around measurement time. Alternative techniques, for example, atomic force microscopy (AFM), are constrained by the aspect ratio of the trench and limited by throughput. In this paper we report results of trench profile measurement using a non-destructive Optical Critical Dimension (OCD) technique. In this technique, trench profile parameters are measured using normal incidence spectroscopic ellipsometry. We studied various silicon trenches with depths between 1 and 2.5 um and pitches from 1 to 4 um. Spectral simulation and profile comparison between OCD and X-SEM/AFM reveal that OCD has great sensitivity to deep silicon trench profile features (CD, trench depth and side wall angle), providing a viable metrology solution for real time process control.
Optical characterization of 193nm amorphous carbon ARC films
In this study, the optical properties of amorphous carbon (aC) ARC films are investigated using an Opti-probe OP7341, and a metrology solution that robustly measures a broad range of process conditions is presented. We find that the aC material is consistent with uni-axial anisotropy, and that this effect may have important implications for photolithography. These results are obtained through the combination of multiple technologies in one tool: spectroscopic ellipsometry (SE); spectroscopic reflectometry or broadband (BB), with a wavelength range of 190-840 nm; single wavelength (673 nm) but multiple incident angle beam profile reflectometry (BPR) and beam profile ellipsometry (BPE), and single wavelength (633nm) absolute ellipsometry (AE). The combination of technologies at multiple angles and wavelengths provides additional optical information and sensitivity not possible with single-technology approaches. A complex wavelength dependent anisotropy model was developed for this analysis, and is compared with a real anisotropy model. The complex anisotropy model and the effective medium approximation (EMA) with two and three components were applied to a set of 12 wafer set with thickness swing aC films in the range of 500-750 Å as well as a second set of 23 pre- and post- etch wafers. The complex anisotropy model clearly has the advantage of best fit the BPR profiles along with the SE Fourier coefficients. The etch rate obtained by the complex anisotropy also showed a much narrower variation as compared with the EMA2 and EMA32 models with the real anisotropy.
Utilizing overlay target noise metrics for improved process control
Sean Hannon, John C. Robinson, Marcelo Cusacovich, et al.
A method has been developed for calculating the statistical effects of spatial noise on the overlay measurement extracted from a given overlay target. Previously, this metric has been shown to correlate well to the random component of Overlay Mark Fidelity (OMF), and that OMF is a significant contributor to the noise hierarchy. Quantitative diagnostic methods are required in order to assess the capabilities of overlay metrology and provide visibility into root-causes of potential inaccuracies intrinsic in the processing of overlay targets. We explore the use of this target noise metric for improved process control including improved overlay modeling, process fault detection, and process troubleshooting. In this paper we demonstrate the use of this additional metric for multiple layers in a large volume of production data utilizing existing production sampling.
Improved shallow trench isolation and gate process control using scatterometry based metrology
P. Rudolph, S. M. Bradford
The ability to control critical dimensions of structures on semiconductor devices is essential to improving die yield and device performance. As geometries shrink, accuracy of the metrology equipment has increasingly become a contributing factor to the inability to detect shifts which result in yield loss. Scatterometry provides optical measurement that better enables process control of critical dimensions. Superior precision, accuracy, and higher throughput can be achieved more cost effectively through the use of this technology in production facilities. This paper outlines the implementation of Scatterometry based metrology in a production facility. The accuracy advantage it has over conventional Scanning Electron Microscope (SEM) measurement is presented. The Scatterometry tool used has demonstrated repeatability on the order of 3σ < 1 nm at STI-Etch-FICD for CD and Trench Depth (TD), and Side Wall Angle (SWA) measurements to within 0.1 degrees. Poly CD also shows 3σ < 1 nm, and poly thickness measurement 3σ < 2.5 Å. Scatterometry has capabilities which include measurement of CD, structure height and trench depth, Sidewall angle (SWA), and film thickness. The greater accuracy and the addition of in-situ Trench depth and sidewall angle have provided new measurement capabilities. There are inherent difficulties in implementing scatterometry in production wafer fabs. Difficulties with photo resist measurements, film characterization and stack set-up will be discussed. In addition, there are challenges due to the quantity data generated, in how to organize and store this data effectively. A comparison of the advantages and shortcomings of the method are presented.
Experimental comparison of absolute PDI and lateral shearing interferometer
Yucong Zhu, Katsumi Sugisaki, Masashi Okada, et al.
We present the experimental results of EUVA Absolute Point Diffraction Interferometer (ABSPDI) and Lateral Shearing Interferometer (LSI) for at-wavelength characterization of the projection lens for use in extreme-ultraviolet lithography (EUVL). The attained repeatability of either type of the interferometers is within 0.04nmRMS. The experimental results have shown good consistency between the LSI and ABSPDI. The reasons for the residual differences have been analyzed and we believed it is mainly due to the CCD tilt effect in the experimental system. After the CCD tilt effect was removed, a better consistency below 0.33nm RMS has been achieved.
Three-dimensional measurement by tilting and moving objective lens in CD-SEM(III)
K. Abe, Y. Tsuruga, S. Okada, et al.
Necessity of nondestructive three-dimensional measurement methodology has increased. We propose three-dimensional measurement by CD-SEM with T-MOL (Tilting and Moving Objective Lens) electron optics system. We designed the new objective lens, and confirmed that the new electron optics system provides 3.0nm resolution at tilting angle of 10 degrees. Moreover, we developed the multi-matching technique based on the several stereographical tilted images. In this paper, we report the comparison between the new technique and the conventional one, as well as the technique’s capability using the actual semiconductor devices.
Lithography tool qualification using angular scatterometry
Lithography process control remains a significant challenge in modern semiconductor manufacturing. Metrology efforts must overcome the complexity of the lithography process, as well as the number of process elements that contribute to overall process yield. One specific area of concern is lithography tool focus control. It is vital to control photolithography tool focus during the photoresist development step with a high degree of precision and accuracy. Furthermore, dose variations can compound the difficulty in determining focus. The lenses used in photolithography tools have a very limited depth of focus, so utmost precision is necessary. Tools that are in focus will result in sharper and better controlled features, while tools that are out of focus will result in improperly developed photoresist features. Angular scatterometry is a technology well-suited for lithography inspection and process control because it provides rapid measurement data and can be used for the measurement of resist line profiles. We report on model-based methods for focus control and their application towards photolithography control in a production setting. Topics of discussion include the effect of model parameter selection for focus metrics on focus curve quality and accuracy, as well as the effect of grating target design on focus sensitivity and accuracy. Measurement data using this focus technique in a production setting will be presented.
Electron beam inspection system for semiconductor wafer based on projection electron microscopy: II
Tohru Satake, Nobuharu Noji, Takeshi Murakami, et al.
Electron beam inspection systems based on a scanning electron microscopy (EBI-SEM) had been developed and used for the yield management in the semiconductor process because of its high resolution. However, they have the restriction of inspection speed due to the space charge effect of the electrons in the focused electron beam. We have been developing the electron beam inspection system based on the projection electron microscopy (EBI-PEM), and reported the results which revealed the possibilities of detecting the defect size of less than 100nm and the data rate 600MPPS, last year. We have further improved the EBI-PEM on its secondary electron optical system (2'nd EO) for obtaining smaller aberrations and distortion. The aberrations and distortion of the improved EBI-PEM optical system have been estimated by calculation using conventional simulation program. Obtained aberration values were small enough than one necessary for attaining the spatial resolution of the target specification and a resolution has been confirmed by the experiments.
Characterization and modeling of line width roughness (LWR)
Vassilios Constantoudis, Evangelos Gogolides, Jeanette Roberts, et al.
Control of Line Width Roughness (LWR) is one of the biggest challenges of next generation lithographies. However, control necessitates accurate definition and characterization schemes. In this paper, a new definition of LWR is proposed with the benefit of being independent on the resist line length used in the measurement. The definition corresponds to the sigma value of LWR for infinite resist-line-length, but it can be measured using any finite line length. It is based on an appropriate combination of LWR and CD metrology. As the line length (gate width) decreases the LWR is being partitioned between the sigma of LWR for finite lengths and the CD variation. This partitioning is controlled by the correlation length and the roughness exponent. A protocol for LWR characterization is described using these three parameters. Furthermore, LWR modeling using methods for generating lines similar to the experimental ones is investigated. The aim is to control LWR deliberately for better input to device simulators and solving characterization problems. An algorithm based on the convolution method is shown to reproduce reliably the roughness characteristics of real lines. This algorithm needs as input a triplet of parameters similar to those defined above for LWR characterization.
Inline sidewall angle monitoring of memory capacitor profiles
Ben M. Rathsack, Scott G. Bushman, Francis G. Celii, et al.
The integration of embedded ferroelectric random access memory (FRAM) into a standard CMOS flow requires significant control and characterization of the patterned capacitor sidewall angle. The electrical functionality of the FRAM capacitor is highly dependent on the post-etch sidewall characteristics of the TiAlN hardmask and Ir/PZT/Ir capacitor film stack. In this study, we explored various options for determining the sidewall profile of these capacitors including scanning electron microscope (SEM), atomic force microscopy (AFM) and scatterometry. A series of capacitor samples with ranges of sidewall slopes from 60 degrees to 80 degrees was generated to test each measuring technique's robustness. All of the techniques demonstrated relatively accurate sidewall angle measurements of the high-angle capacitor profiles relative to cross-section SEMs. However, the CD SEM had difficulty identifying the top edge of the low-angle capacitor samples due to the large amount of profile roughness, which induced a large measurement error range. Additional optimization is required to improve the CD SEM's precision, before it would be a viable in-line monitor for the FRAM process. The AFM provided good accuracy and precision on the high-angle capacitor profiles, but the tip size limited the measurements to spaces larger than 120 nm. Furthermore, the AFM had a long move-acquire-measure (MAM) time of 5 minutes/site, which limited its throughput as an inline monitor. The scatterometer predicted bottom-stack sidewall angle measurements (2 trapezoid model) that were consistent with the cross-section SEMs, and it produced the lowest across wafer sidewall angle range. It also had the fastest MAM time of 5 seconds/site compared to the other techniques. However, it was difficult to generate an accurate scatterometry model due to the complex optical film stack that incorporated low surface reflectivity and higher surface roughness. While each technique had limitations, scatterometry appeared to be the most capable of inline sidewall angle monitoring.
Metrology of laser-produced plasma light source for EUV lithography
Metrology concepts and related results are discussed for characterization of extreme ultraviolet (EUV) light sources based on laser-produced plasmas using metal foil and droplet targets. Specific designs of narrow-band EUV detectors employing multilayer mirrors and broadband detectors for droplet steering are described. Spatially resolved plasma imaging using in-band EUV pinhole cameras is discussed. A grazing-incidence flat-field EUV spectrometer is described that has been employed for spectroscopy in the 6 nm - 22 nm range. In addition, spectroscopic data of out-of-band radiation in the ultraviolet and visible spectral regions are presented. Results obtained for different wavelengths of the incident laser radiation and for both tin- and lithium foil- and droplet- targets are discussed.
Line-Edge Slimming, Critical Dimension
icon_mobile_dropdown
Improving STI etch process development by replacing XSEM metrology with scatterometry
For years, scatterometers have been providing full profile information on line/space arrays. These profiles are often compared to XSEM images in order to show how well they match. This is done by placing the profiles and images next to each other or by overlapping them. The comparisons, however, are typically qualitative; this makes it difficult to determine exactly how good the match is. Furthermore, this qualitative comparison makes it difficult to determine whether profiles from one scatterometry tool or model match corresponding XSEM images better than another tool's or model's profiles. This study circumvents this problem by making multiple measurements of critical dimension, sidewall angle, and height/depth from XSEM images, and then comparing them to scatterometry measurements previously collected from the same locations. The vehicle that is used for this study is a series of etched STI wafers that were subjected to a range of etch processes. Total Measurement Uncertainty (TMU) analysis is used to properly quantify the comparisons. Both scatterometry library and regression models are investigated; the results from both of these methods are compared to the XSEM measurements and to each other. A technique to estimate the accuracy of the XSEM measurements themselves is also used. Results show that, within statistical error, both scatterometry methods provide the same information about the samples. Furthermore, the data reveal that the scatterometer is in most cases about as accurate as the XSEM metrology in quantifying significant structural components of the samples. Finally, examples showing how the increased sampling of scatterometry can be used to improve etch process development is provided.
Poster Session
icon_mobile_dropdown
An investigation of a new generation of progressive mask defects on the pattern side of advanced photomasks
Kaustuve Bhattacharyya, Mark Eickhoff, Brian Grenon, et al.
DUV lithography has introduced a progressive mask defect growth problem widely known as crystal growth or haze. Even when incoming mask quality is high, there is no guarantee that the mask will remain clean during its production usage in the wafer fab. These progressive defects must be caught early during production in the fabs. In the absence of a solution for the defect’s root cause, the ideal reticle quality control goal should be to detect and monitor any nascent progressive defects before they become yield limiting. Most of the work published so far has been focused on crystals on clear area (on the pattern surface) and on the back-glass of the mask. But there is a new generation of growing defects: crystals that grow on the half tone (MoSi) film or on the chrome film, on the pattern side of the mask. It is believed that the formation mechanisms and rates are different for these new types of crystals. This work becomes more important with the impact of such defects’ instability on masks in volume production. The purpose of this investigation is to improve manufacturability of PSM’s through haze contamination reduction and to understand the impact and dependency of this contamination on die yield, on reticle lifetime, and on usage patterns.
Application of 3D scatterometry to contacts and vias at 90nm and beyond
The challenging metrology application for scatterometry and CD-SEM is to accurately measure both CD and profile. To apply this metrology specifically to dual-damascene hole structures is critical for the back-end processing, in order to control both the CD and the process overall. This paper discusses applications of Optical Digital Profilometry-based scatterometry to the advanced 90nm node dual-damascene process. The application includes contact ADI, via AEI, via etch, and via fill. The results show that scatterometry can measure CD, as well as provide sidewall angle and profile information that is unavailable by CD-SEM. Correlations to CD-SEM and cross-sectional SEM are also presented. For future applications, scatterometry is a viable solution for 3D structures, and provides higher precision, and more metrology information than current metrology methods for critical dual-damascene processes.
Effects of high moisture on the purification of clean compressed air
Joe Rotter, Daniel Alvarez Jr., Allan Tram
A method was developed to measure purifier siloxane removal efficiencies from air under dry and humid conditions. This method measured hydrocarbons to 1 part-per-trillion (ppt) concentration levels with a Gas Chromatograph and Flame Ionization Detector (GC/FID). Several media types were examined: Activated Alumina (AA), Activated Carbon (AC), Bead-shaped Activated Carbon (BAC), a Proprietary Inorganic Material (PIM) and a Zeolite (ZEO). Under dry conditions, all five materials removed the siloxane challenge to below 1ppt. Under humid conditions the AC material had a removal efficiency of 286 ppt. The AA, BAC, PIM and ZEO removed the siloxane challenge to below 1 ppt under humid conditions. After media saturation was reached, the materials were regenerated and siloxane removal efficiencies were re-examined. Under humid conditions only the AA, PIM and ZEO materials were regenerable to below 1ppt efficiency levels.
Minimizing the impact of image icquisition on CD-SEM LER/LWR measurements
G. Sundaram, B.-H. Lee, T. Mai, et al.
As gate linewidth control values approach the dimensions of resist polymer units, the accurate measurement of resist line edge (width) roughness (LER/LWR) takes on increased importance, not only as a guide to quantifying lithographic pattern quality, but also in its influence on device performance. It is therefore critical to be able to measure LWR in a manner that minimizes any image acquisition artifacts that may occlude the true nature of the roughness. In this paper, we study the effects on LWR that can result from the image acquisition process on a CD-SEM, with emphasis on the observations noted in 193 nm resist LWR, and in the use of sub-200 eV Ultra-Low Voltage (ULV) measurement energies, that have been explored as a means of minimizing the impact on 193 nm resist LWR.
Monitoring method for automated CD-SEM recipes
Tatsuya Maeda, Satoru Iwama, Makoto Nishihara, et al.
A prototype of a digital video storage system (CD-watcher) has been developed and attached to a Hitachi S-9380 CD-SEM. The storage system has several modes that are selectable depending on the phenomenon of interest. The system can store video images of duration from a few seconds to a few weeks depending on resolution, sampling rate, and hard disc drive capacity. The system was used to analyze apparent focusing problems that occurred during the execution of automated recipes. Intermittent focusing problems had been an issue on a particular tool for a period of approximately three months. By reviewing saved images, the original diagnosis of the problem appeared to be auto focus. Two days after installation, the CD-watcher system was able to record the errors making it possible to determine the root cause by checking the stored video files. After analysis of the stored video files, it was apparent that the problem consisted of three types of errors. The ability to record and store video files reduced the time to isolate the problem and prevented incorrect diagnosis. The system was also used to explain a complex phenomenon that occurred during the observation a particular layer. Because it is sometimes difficult to accurately describe, and to have others easily understand, certain phenomena in a written report, the video storage system can be used in place of manual annotation. In this report, we describe the CD-watcher system, test results after installing the system on a Hitachi S9380 CD-SEM, and potential applications of the system.
Evaluation of line and hole measurement by high-resolution low-magnification CD SEM
Chih-Ming Ke, Chi-Chuang Lee, Yu-Hsi Wang, et al.
ArF-resist-shrinkage and line-edge roughness-induced CD errors are the two main challenges for CD SEM. The requirement of measurement precision for the 65-nm node is less than 0.5 nm. The current CD SEM ADI precision is between 0.7 to 0.9 nm after shrinkage curve correction. Optical CD (OCD) has provided three major advantages. That is more sampling (> 2500:1), insensitivity to line edge roughness, and less resist damage. These advantages facilitate much better measurement precision (< 0.3 nm) than CD SEM and make OCD a potential APC metrology candidate. However, the recipe and library generation of OCD is more complicated and time consuming than CD SEM. Any thin-film variation will disturb the CD accuracy and recipe coverage range of OCD. For different pitches and film combinations, new OCD libraries need to be generated. Matching through all pitches between CD SEM and OCD is also very difficult. We propose a new concept on optical-CD-like CD SEM measurement, i.e. average line width (ALW) and contact hole diameter (ACD) measurement at high resolution and low magnification (HRLM) CD SEM. The resolution chosen is below 2nm and the magnification is 50KX. The low magnification CD measurement can average the e-beam dosage and reduce the ArF shrinkage. Several repeated patterns such as line/space and hole arrays are measured to get an averaged CD under lower magnification condition. These low magnification average CDs increase the sampling size and they are insensitive to the line edge roughness. The CD linearity of ALW/ACD and the CD matching to current CD SEM methodology will be presented. Small step FEM CD by low magnification and high magnification CD measurement will be studied. The difference between low/high magnification SEM and optical CD will be also studied.
Characterization of E-beam induced resist slimming using etched feature measurements
Colin Yates, Galen Sapp, Paul Knutrud
ArF resist is critical in the production of today's state-of-the-art lithography. It is well documented that process control measurements via CD-SEM at landing energies greater than 200 eV significantly slims the ArF resist, leading to inaccurate measurements and changes in the final geometries of the feature measured in-circuit. Resist slimming is most frequently quantified as the difference between consecutive measurements of the same feature. This study uses an alternative method to measure the slimming caused by a single measurement on a resist feature. Measurements were taken of etched features that had been exposed on a CD-SEM to various beam conditions prior to etch. The slimming was calculated by measuring the delta between the exposed portion of the line and the adjacent un-exposed portion of the same line. Previous work and the results of this current work show that the slimming of the ArF resist carries over through the etch process and measurably altered the final CD. In this work a systematic study of various image acquisition conditions shows that the choice of landing energy dominates all other factors affecting the amount of slimming, with near zero slimming measured for the 100 eV landing energy.
Integrated Metrology/Design
icon_mobile_dropdown
Design-based metrology: advanced automation for CD-SEM recipe generation
The procedure for properly implementing OPC for a new technology node or chip design involves multiple steps: selection of the RET (resolution enhance technique), selection of design rules, OPC Model Building, OPC Verification, CD control quantification (across chip, reticle, wafer, focus, exposure, etc), calibration of Optical Rule Checks (ORC), and other verification steps. Many of these steps require up to thousands of wafer measurements, and while state-of-the-art CD-SEM tools provide automated metrology for production, manually creating a CD recipe with thousands of unique sites is extremely tedious and error-prone. This places a practical limit on both the quality and number of measurements that can be acquired during the technology development and qualification period. At the same time, the number of measurements required to qualify a new reticle design has increased drastically due to the growing complexity of RET and diminishing tolerances. To meet this challenge, a direct and automated link from the design systems to the process metrology tools is needed. Novel methodologies must also be developed to enable automated generation of teh recipe from the design inputs and to translate the flood of metrology results into information that can improve the design, mask data processing, or the patterning process. To facilitate this two-way data flow, a new framework has been created enabling true Design-Based Metrology (DBM), and an application named OPC-Check has been developed to operate within this framework. This DBM framework provides the common language and interface that facilitates the direct transfer of desired measurement locations from teh design to the metrology tool. This link is a critical element in Design for Manufacturability (DFM) efforts, a central theme in many presentations at Microlithography 2005. This article discusses the significant benefits of the tight integration of design and process metrology for OPC implementation in a new technology node, and provides some examples of the novel OPC-Check application as currently implemented at AMD SDC with Applied Materials CD-SEM tools.
Poster Session
icon_mobile_dropdown
ArF-resist line width slimming variation with threshold level in high precision CD-SEM measurement
Hiroki Kawada, Yuki Ojima
Line width slimming (LWS) occurring in ArF photo-resist is measured with various threshold levels. The LWS decreases as the threshold level decrease, with electron’s landing voltage (Ve) = 800 V. Contrary to this, with Ve = 300 V the LWS slightly increases as the threshold level decrease. The line edge detected by threshold = 20% locates in the sidewall where the elevation angle is nearly zero, whilst the line edge by threshold = 80% locates in the top corner where the elevation angle is more than 30 degrees. To estimate the electron dose that is sensitive to the elevation angle of the incident electron, we used an in-house made Monte Carlo simulator. The LWS variation with the threshold level can be explained by calculated electron dose ratio that is sensitive to the elevation angle.
Scatterometry based 65nm node CDU analysis and prediction using novel reticle measurement technique
Koen van Ingen Schenau, Peter Vanoppen, Hans van der Laan, et al.
Scatterometry was selected as CD metrology for the 65nm CDU system qualification. Because of the dominant reticle residuals component in the 65nm CD budget for dense lines, significant improvements in reticle CD metrology were required. SEM is an option but requires extensive measurements due to the scatterometry grating modules. Therefore a new technique was developed and called SERUM (Spot sensor Enabled Reticle Uniformity Measurements). It uses the on board exposure system metrology sensors to measure transmission that is converted to reticle CD. It has the advantage that an entire reticle is measured within two minutes with good repeatability. The reticle fingerprints correlate well to the SEM measurements. With the improvements in reticle CD metrology offered by SEM and SERUM the reticle residuals component no longer dominates the 65nm budget for CDU system qualification.
Developing an understanding of electron beam imaging of deep contact hole structures using Monte Carlo and spatial charge distribution simulations
Charging effects on secondary electron (SE) profiles with bias voltage in deep contact holes are investigated. To enhance imaging capability for deep contact holes, the technique of applying a high bias voltage between the objective lens and the sample ground has been developed. However, the physics responsible for the mechanism of extraction of secondary electrons from deep within these structures is not well understood. Following previous work we use Monte Carlo simulations to compute the trajectories of numerous electrons while modeling the charging phenomena to calculate the resultant SE beam profile in a deep contact hole. This software derives the spatial charge distribution within the sample that results from the incident e-beam. The resultant surface potential, arising from areas of positive and negative charge within the sample, creates conditions, which require that the sample be included as an electron-optical element within the system. All of this information is used to calculate the ejected SE trajectories from the deep contact hole structures and to construct quantitative image profiles under specific scanning electron microscope (SEM) operating conditions and contact hole dimensions. The simulated results are compared to experimental results in order to develop a better understanding of e-beam imaging of deep contact hole structures.
Scatterometry measurement method for gate CD control of sub-130nm technology
Jeongyeol Jang, Sungho Kwak, Karl Lee, et al.
Recently, the scatterometry is becoming more and more popular as a inline metrology tool for lithography process control as well as etching process control because of the advantage of fast measurement with high accuracy. Especially, at the gate patterning that fabricates transistors, the scatterometry can be very powerful because it gives massive volume of CD (Critical Dimension) measurement data and gate poly profile, simultaneously. Those results could help to understand and forecast the performance of transistors. In order to achieve accurate and consistent measurement results by scatterometry, the setup of stable model and library is very crucial since it has nature of indirect measurement. For example, as defining of substrate conditions, modeling range of parameters, target values and type of models, scatterometry (in this paper, we call as OCD; Optical CD) gives different results even if we use same data basis. In this paper we have shown the best practice how to optimize variables of scatterometry to get accurate and stable results. We used the OCD(Optic CD: Accent CDS200) angular scatterometry system which can rotate HeNe laser light source from -47 to +47 degree. In order to investigate the substrate dependency, various silicon wafer substrates having periodic patterned with different materials such as photoresist, BARC, poly silicon, and thermal oxide film has been used. Finally, we observed OCD has the excellent capability for inline process controllability.
Evaluation of Hitachi CAD to CD-SEM metrology package for OPC model tuning and product devices OPC verification
Pietro Cantu, Gianfranco Capetti, Chiara Catarisano, et al.
Optical proximity corrections are widely used in semiconductor industry to compensate non-linear effects occurring when printing features smaller than exposure wavelength. Most advanced OPC software packages simulate optical behavior starting from a physical description of illumination and projection optics, while the characterization of resist development and etch loading effects is still performed empirically, with different approaches that, generally, require the collection of a huge amount of experimental data. Due to the wide variety of target patterns, which makes conventional CD-SEM recipe creation impossible, critical dimension (CD) measurements are usually performed manually, requiring long time and, despite the attention paid while measuring, with poor guarantee of repeatability. The introduction of 193nm resists, much more sensitive to SEM e-beam exposure if compared to 248nm materials, required increased attention to be paid on both focusing and measuring phases in order to obtain reliable results. As well as OPC model tuning, the verification of correction effectiveness on product devices is performed almost in the same way leading to the same kind of issues. In order to overcome most of these problems ST is evaluating a new CD metrology package from Hitachi High Technologies; this tool allows fully automatic CD measurements starting from GDS II coordinate input. The exact recognition of measurement locations is obtained through an algorithm, based on the superposition of the drawn GDS II layout to the SEM wafer images, which allows achieving high positioning accuracy. The introduction of the tool significantly reduces measuring time down to the range of normal automated CD measurement times, while guarantying improved repeatability and optimized conditions even with 193nm resists due to the possibility of defining different structures for addressing and focusing before the measurement. This new system opens new perspectives in OPC modeling giving the opportunity of a more accurate model tuning, required by 65 nm technology node, and enables an extensive product devices OPC verification presently impossible due to time and procedure issues.
Development of beam-tilt angle calibration method for CD-SEM
Katsumi Setoguchi, Hidetoshi Morokuma, Atsushi Miyamoto, et al.
An image processing technique for estimating the incidence angle of an electron beam (beam-tilt angle) of a critical dimension scanning electron microscope (CD-SEM) has been developed. The estimation and correction of the error of the beam-tilt angle are indispensable for high precision measurement of CD and/or three-dimensional profiles of semi-conductor device patterns. In this technique, a pyramidal-shaped crystal sample made by anisotropic etching is used for calibration. From the top-down and tilted views of the sample, x and y directional beam-tilt angles relative to the top-down view are estimated simultaneously, with the geometrical variations of the pyramid ridge lines detected by image processing. Exact positioning of the sample is not required because the inclination and rotation of the sample towards the wafer surface are estimated separately from the beam-tilt angles. Evaluation of 40 sample images, including 4 directional tilt angles, indicated that deviations of the estimated x and y beam-tilt angles were 0.13 and 0.12 degree respectively (3 sigma). It will also be shown that the technique is robust against characteristic SEM image distortion and low S/N. This technique has achieved high precision and quantitative estimation for the beam-tilt angles, and will provide a method for high precision measurement of CD and three-dimensional profile for semi-conductor process monitoring and control in the future.
Impact of long-period line-edge roughness (LER) on accuracy in CD measurement
The influence of long-period line-edge roughness (LER) on measured critical-dimension (CD) values is identified, and a guideline for LER-impact-free CD measurement is introduced. There are two kinds of meanings of CD, one is the average pattern-size calculated in a limited area (i.e., local CD), and the other is the representative pattern-size (average CD). The width of a line pattern measured by CD-SEM is a local CD, which deviates from the average CD because of long-period LER. This LER impact on the CD measurement is investigated in two typical measurements of CD-SEM, evaluation of across-wafer CD-variation and dynamic repeatability of the equipment. It is shown that both results strongly depend upon the height of the inspection area along the line-edge (L) because of long-period LER. It is found that a large L can reduce the LER-impact, and a 2-μm inspection-area or more is recommended for CD measurements. Furthermore, the validity and limitation of the patchwork method, in which several inspection areas are connected to obtain one large area, is examined.
Focus and dose measurement method in volume production
We propose a new inspection method of in-line focus and dose control at semiconductor volume production. We have been referred to this method as Focus & Dose Line Navigator (FDLN). Using FDLN, the deviations from the optimum focus and exposure dose can be obtained by measuring the topography of resist pattern on a process wafer that was made with single exposure condition. Generally speaking, FDLN belongs to the technology of solving the inverse problem as scatterometry. The FDLN sequence involves following two steps. Step 1: creating a focus exposure matrix (FEM) using test wafer for building the library as supervised data. The library means relational equation between the topography of resist patterns (critical dimension (CD), height, side wall angle) and FEM's exposure conditions. Step 2: measuring the topography of resist patterns on production wafers and feeding the topography data into the library to extrapolates focus and dose. To estimate the accuracy of FDLN, we had some experiment. We made a FEM with ArF lithography tool and measured the topography of the FEM with optical CD measurement tool. By using the topography data, we obtained following result as accuracy of FDLN. Focus: 27.0nm (5.2nm) and Dose: 1.8% (1.4nm). The numerical value in a parenthesis shows the value of estimated accuracy into change of CD value. We also show other experimental results and some simulation result in this paper.
Characterization analysis study of µ-bridge defect using simulation and wafer inspection tools
Tae-yong Lee, Byoung-ho Lee, Soo-bok Chin, et al.
As the design rules of semiconductor devices continue to decrease, the detection of critical killer defects has become more difficult. In this paper, μ-bridge defects are studied. In order to detect special μ-bridges, both direct inspection and simulation techniques were employed. The inspection technologies used include brightfield, darkfield, and electron-beam inspection (EBI) tools, while the simulation analysis uses charge calculations and Monte Carlo scattering simulation. Special μ-bridge defects were only captured by the EBI tool and verified by focused ion beam (FIB) milling. This result corresponds to simulation data.
Optical considerations of high-resolution photomask phase metrology
We discuss the major challenges facing interferometric metrology and review several optical architectures that have evolved to meet the demands of the photolithography industry. Reliable image formation at extreme values of k1 requires the precise characterization of advanced photomasks, which may themselves contain near- and sub-illumination-wavelength feature sizes. The limitations of available photomask phase metrology tools have driven the development of a new actinic phase metrology architecture that facilitates optical path difference measurements of isolated or dense features on a sub-200-nm spatial scale. We describe the optical considerations that affect optical photomask metrology, and illustrate the new coherent-probe technique with preliminary results obtained using a leading-edge chromeless-phase lithography (CPL) reticle and a high-resolution actinic 193-nm microscope.
CD Measurement and Reference Systems/Comparisons
icon_mobile_dropdown
CD reference features with sub-five nanometer uncertainty
The implementation of a new test structure for HRTEM (High-Resolution Transmission Electron Microscopy) imaging, and the use of CD AFM (CD Atomic Force Microscopy) to serve as the transfer metrology, have resulted in reductions in the uncertainties attributed to critical dimension (CD) reference-material features, having calibrated CDs less than 100 nm. The previous generation of reference materials, which was field-tested in 2001, used electrical CD as the transfer metrology. Calibrated CD values were in the range 80 nm to 150 nm and expanded uncertainties were approximately ± 14 nm. The second-generation units, which have now been distributed to selected industry users for evaluation, have uncertainties as low as ±1.5 nm and calibrated CDs as low as 43 nm.
Poster Session
icon_mobile_dropdown
Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturing
Jon R. Pratt, John A. Kramar, David B. Newell, et al.
If nanomechanical testing is to evolve into a tool for process and quality control in semiconductor fabrication, great advances in throughput, repeatability, and accuracy of the associated instruments and measurements will be required. A recent grant awarded by the NIST Advanced Technology Program seeks to address the throughput issue by developing a high-speed AFM-based platform for quantitative nanomechanical measurements. The following paper speaks to the issue of quantitative accuracy by presenting an overview of various standards and techniques under development at NIST and other national metrology institutes (NMIs) that can provide a metrological basis for nanomechanical testing. The infrastructure we describe places firm emphasis on traceability to the International System of Units, paving the way for truly quantitative, rather than qualitative, physical property testing.
Line-Edge Slimming, Critical Dimension
icon_mobile_dropdown
Distance-based standard deviation analysis method for line width roughness data
Thomas J. Bzik, Madhukar B. Rao, Peng Zhang
Linewidth roughness (LWR) is a major challenge for 90nm node and below. As feature sizes decrease, the reliable measurement, statistical comparison and interpretation of LWR data become increasingly important. The reliability of all LWR statistical analysis methods are strongly impacted by the architecture of LWR data being analyzed. Some of the key structural aspects of the collected data include: measurement box size, distance between neighboring measurements and whether measurement boxes have been "stitched" together for analysis. Additionally, the true nature of underlying line width variation, including both cyclical and non-cyclical trends, impacts how reliable a given interpretation will be. Current statistical methodologies for linewidth data are oriented at estimation of the frequency and scale of cyclical variation in linewidth components. Fourier analysis is traditionally applied for this purpose. Such analyses assume both that there is a cyclical component (e.g., sinusoidal) or components in the data to be modeled, as well as implicitly assuming a Gaussian error distribution for the linewidth variation that remains after modeling. The assumption that Fourier analysis is appropriate for LWR data often not met in practice by the LWR data undergoing analysis. A more model-independent approach, distance-based standard deviations, is proposed for use as part of an LWR statistical analysis methodology. It is based on the calculation of local standard deviations of linewidth for all possible distances between measured points. This methodology permits the statistical comparison of linewidth roughness over any distance of interest and makes efficient use of all data for a given measurement box length. It can determine the minimum measurement box length required to capture all linewidth variation. In addition, the method can confirm the validity of line stitching to increase measurement box size, and locate the sources of variance in the overall LWR value (e.g. line-to-line vs. within line). This new method is an effective alternative to established methods for the statistical evaluation of linewidth data. The new statistical technique will be illustrated on linewidth data (measured in μm) obtained from CDSEM measurements.
Poster Session
icon_mobile_dropdown
Optimization of scatterometry parameters for the gate level of the 90 nm node
In recent years scatterometry has been shown to have impressive long term repeatability of better than 1.5nm for simple resist stacks. Equally impressive results have been reported for Shallow Trench Isolation (STI), thus enabling effective monitoring of STI trench etch. These results were achieved by following the methodology that results obtained for a given library must be rigorously tested, to ensure measured results respond correctly to process variation. Following the same methodology, the scatterometry capability for the gate stack after litho and after etch has been evaluated. The stack used is IMEC's standard gate process for the 90 nm node. After a complete library generation, these results are compared to CD SEM and X SEM. These optimized libraries are used on few wafers with strong etch variations. The response to process variations is shown. A method to qualify and monitor the etch tool is demonstrated.
Process Control II: OPC/RET
icon_mobile_dropdown
Impact of overlay metrology on design rule tolerance and shrinkability
A. Balasinski, A. J. Walker
Design rule development for next technology generations depends on the progress in the optical and mechanical properties of steppers and photomasks. For two basic types of design rules: those that define minimum critical dimensions (CD, line and space), and those that define overlay/enclosure (OL) between layers, the shrinkpaths with technology nodes are generally unrelated. The min CD rules are dictated by stepper resolution limits and mask minimum features, the overlay rules - by the respective mechanical tolerances of the printing hardware. However, successful shrinks of design databases to the subsequent technology nodes require that all the design rules be scaled, preferably by the same factor. In this work, we first discuss the impact of the different types of rules on the layout architecture. We then show how one derives OL design rules from alignment tolerances. One method is based on the Lynch numbers (LN), corresponding to the misalignment budget ensuring that the OL yield loss is no more than 0.5% per mask level. However, LN’s are not directly measured in the fab. An alternative method is based on the 3s misregistration error. We demonstrate that these two methods show similar results for several types of masks and steppers. Finally, we show how the trend of overlay tolerance (OL) improvement compares with the trend of min feature size (CD) reduction. The data shows an offset between the OL and the CD trends amounting to 14 nm for the 45 nm technology node. This offset, which we call the overlay tolerance gap, means that enclosure rules would, in general, scale at a slower pace compared to the rules dictated by the linear CD shrink. One should note that the OL tolerance is influenced by matching of reticles and steppers and can be improved by the rework of wafers in line. In summary, we discuss theoretical and manufacturing-related aspects of overlay metrology, to advance design rule shrinks aligned with technology roadmap.
Poster Session
icon_mobile_dropdown
Overlay measurement accuracy verification using CD-SEM and application to the quantification of WIS caused by BARC
Laurent Lecarpentier, Vincent Vachellerie, Elyakim Kassel, et al.
As Moore's law drives the semiconductor industry to tighter specifications, challenges are becoming real for overlay metrology. A lot of work has been done on the metrology tool capability to improve single-tool precision, tool-to-tool matching and Tool-Induced Shift (TIS) variability. But nowadays these contribute just a small portion of the Overlay Metrology Error (approximately 10% for 90nm technology). Unmodeled systematic, scanner noise and process variation are becoming the major contributors. In order to reduce these effects, new target design was developed in the industry, showing improvements in performance. Precision, Residual analysis, DI/FI (Develop Inspection / Final Inspection) bias and Overlay Mark Fidelity (OMF) are common metrics for measurement quality. When we come to measurement accuracy, we do not have any direct metric to qualify targets. In the current work we evaluated the accuracy of different AIM (developed by Kla-Tencor) and Frame-In-Frame (FIF) targets by comparing them to reference “SEM” targets. The experiment was conducted using a special designed 65nm D/R reticle, which included various overlay targets. Measurements were done on test wafers with resist on etched poly printed on 248nm scanner. The results showed that, for this "straight-forward" application, the best accuracy performance was achieved by the Non Segmented (NS) AIM target and was estimated in the order of 1.5 nm site-to-site. This is slightly more accurate than hole-based target and far more than NS FIF target in this particular case. When using the non-accurate NS FIF target, correctable parameters and maximum overlay prediction error analysis, showed up to 24nm overlay error at the edge of the wafer. We also showed that part of this accuracy error can be attributed to the non-uniformity of BARC deposition.
Use of design pattern layout for automatic metrology recipe generation
As critical dimension control requirements become more challenging, due to complex designs, aggressive lithography, and the constant need to shrink,metrology recipe generation and design evaluation have also become very complex. Hundreds of unique sites must be measured and monitored to ensure good device performance and high yield. The use of the design and layout for automated metrology recipe generation will be critical to that challenge. The DesignGauge from Hitachi implements a system enabling arbitrary recipe generation and control of SEM observations performed on the wafer, based only on the design information. This concept for recipe generation can reduce the time to develop a technology node from RET and design rule selection, through OPC model calibration and verification, and all the way to high volume manufacturing. Conventional recipe creation for a large number of measurement targets requires a significant amount of engineering time. Often these recipes are used only once or twice during mask and process verification or OPC calibration data acquisition. This process of manual setup and analysis is also potentially error prone. CD-SEM recipe creation typically requires an actual wafer, so the recipe creation cannot occur until the scanner and reticle are in house. All of these problems with conventional CD SEM lead to increased development time and reduced final process quality. The new model of CD-SEM recipe generation and management utilizes design-to-SEM matching technology. This new technology extracts an idealized shape from the designed pattern, and utilizes the shape information for pattern matching. As a result, the designed pattern is used as basis for the template instead of the actual SEM image. Recipe creation can be achieved in a matter of seconds once the target site list is finalized. The sequence of steps for creating a recipe are: generate a target site list, pass the design polygons (GDS) and site list to the CD SEM, define references, wafer map, and across wafer sampling, generate recipe. Utilizing this new technology, we can expect improved CD-SEM utilization and overall productivity defined by measurements acquired per unit time and by number of recipes that can be created. In addition, the control of recipe generation is improved as this automated data flow reduces the opportunities for errors. Finally, recipe creation automation can improve the time for production and development by enabling preparation before wafers get to the critical metrology steps. This is especially true in a development or foundry environment where the metrology recipe locations are updated frequently. A system is demonstrated where CDs and images can be acquired based on automated recipe generation, pattern recognition using the design polygons, and the measured CDís compared to the target CDís derived from the design. In addition, the presence of the design enables advanced information extraction such as edge placement error (EPE) in addition to traditional CD measurement. Example images and CD control analysis are presented for a critical resist inspection steps (poly, metal, and contact) and an etched poly pattern where an underlying layer is visible in SEM and used as part of the pattern matching template.
Advanced macro inspection provides data to address blister defects
Randy Smith, Sean M. Collins
This paper describes a method for automatically inspecting the top edge region of a wafer for defects and how this method was used to evaluate process improvements. The need for such an inspection was driven by a within wafer defect problem first seen on product. The root cause of the defect was found to be the redistribution of certain defect types from the wafer edge exclusion region into the product area. Process partition and manual inspection using a scanning electron microscope (SEM) revealed the mechanism to be the formation and rupture of blisters during part of the process sequence. These blisters were found to be as small as 2μm, and appeared along the top edge of wafers. During processing, a high percentage of these blisters would rupture and redeposit debris on the topside of the wafer resulting in nearly 100 percent kill rate. While the root cause was understood, the use of SEM inspection to quantifiably evaluate process improvements intended to reduce the edge defects was impractical. This was deemed impractical because of the large of the number of wafers required to generate meaningful statistics and the number of process options. Additionally, manual inspections used to count the number of defects were inconsistent as well as slow. An automated macro defect inspection system (August Technology AXi Series 930) was used in a novel way to enable fast, accurate, and repeatable defect counts from the wafer top edge to help determine the most appropriate process improvement.
Application of through-focus focus-metric analysis in high resolution optical metrology
The optical image of a structured target, where a particular structure repeats itself several times, varies greatly as it is moved through focus if the spacing between the structures is such that the scattered field from the edges interferes. This condition results in a different and complex optical response compared to that found for structures much farther apart. The complex optical image of a structured target in the proximity region is sensitive to the dimensions of the target and the optical parameters. By appropriately analyzing the through-focus optical image, information can be obtained regarding the target and the optical system. In the present work an array of lines is used as a structured target. Experimental data were obtained using a bright field microscope, and results were simulated using a 'modal diffraction grating model' (also known as a rigorous coupled-wave analysis (RCWA)). The gradient-energy focus-metric method was used to characterize the through-focus optical response. The resultant focus metric signature is sensitive to changes in the line width in the nanometer range, giving it potential for metrology applications and characterization of optical tools.
Carbon nanotube atomic force microscopy probes
Shigenobu Yamanaka, Takashi Okawa, Seiji Akita, et al.
We have developed a carbon nanotube atomic force microscope probe. Because the carbon nanotube are well known to have high aspect ratios, small tip radii and high stiffness, carbon nanotube probes have a long lifetime and can be applied for the observation deep trenches. Carbon nanotubes were synthesized by a well-controlled DC arc discharge method, because this method can make nanotubes to have straight shape and high crystalline. The nanotubes were aligned on the knife-edge using an alternating current electrophoresis technique. A commercially available Si probe was used for the base of the nanotube probe. The nanotube probe was fabricated by the SEM manipulation method. The nanotube was then attached tightly to the Si probe by deposition of amorphous carbon. We demonstrate the measurement of a fine pith grating that has vertical walls. However, a carbon nanotube has a problem that is called "Sticking". The sticking is a chatter image on vertical like region in a sample. We solved this problem by applying 2 methods, 1. a large cantilever vibration amplitude in tapping mode, 2. an attractive mode measurement. We demonstrate the non-sticking images by these methods.