Proceedings Volume 5751

Emerging Lithographic Technologies IX

cover
Proceedings Volume 5751

Emerging Lithographic Technologies IX

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 6 May 2005
Contents: 23 Sessions, 132 Papers, 0 Presentations
Conference: Microlithography 2005 2005
Volume Number: 5751

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Keynote Papers
  • EUV Systems I
  • EUV Optics/Materials
  • Advanced Mask Characterization I
  • Nanoimprint I
  • EUV Source I
  • EUV Systems II
  • Posters: EUV Systems
  • EUV Systems II
  • Maskless Lithography
  • Nanoimprint II
  • Advanced Mask Characterization II
  • Electron Projection Lithography
  • Posters: Electron Projection Lithography
  • Electron Projection Lithography
  • Novel Lithography Systems
  • EUV Source II
  • Posters: Novel Lithography Systems
  • Posters: Advanced Mask Characterization
  • Electron Projection Lithography
  • Posters: EUV Systems
  • Posters: EUV Sources
  • Posters: Nanoimprint
  • Posters: Maskless Lithography
  • Posters: EUV Optics/Materials
  • Posters: EUV Metrology
  • Posters: Maskless Lithography
  • Posters: Advanced Mask Characterization
  • Posters: EUV Optics/Materials
  • Posters: EUV Sources
  • Posters: EUV Optics/Materials
  • Plenary Papers
Keynote Papers
icon_mobile_dropdown
Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography
S. E. Steen, S. J. McNab, L. Sekaric, et al.
Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.
Electron beam direct write lithography flexibility for ASIC manufacturing: an opportunity for cost reduction
L. Pain, M. Jurdit, J. Todeschini, et al.
With the strong increase of mask complexity and associated price for each new technology node, mask less lithography represents more and more an interesting and complementary alternative for ASIC manufacturing especially in the fields of low volume and leading eadge technology applications. In the semiconductor business where prices and cycle time are constantly pressured, the capability and flexibility of the electron beam direct write offer an effective real cost and cycle time opportunity thanks to its high-resolution capability but also to its ability to print, modify or correct design everywhere in a circuit. This paper highlights application examples where the advantages of this lithography solution are demonstrated for advanced research and development application with the patterning of 45 nm SRAM and for the fast validation of architecture designs. This work confirms that mask less lithography can be transparently placed into production environment, in association with the "golden" optical lithography reference.
Nanoimprint lithography: the path toward high-tech, low-cost devices
Nanoimprint lithography is a contact-lithography technology invented in 1996 as a low-cost alternative to photolithography for researchers who need high resolution patterning. Initially perceived as a trailing-edge technology for low-cost device fabrication, it has been recently demonstrated to achieve sub-10 nm resolution and alignment, which equal or surpass even the most advanced photolithography today. At Hewlett-Packard, we have successfully used it to fabricate switchable molecular memory arrays with a dimension of 65 nm half pitch. Nanoimprint has been placed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate for next-generation lithography (NGL) for insertion in the 32 nm node in Y2013. The switch from using light to using contact to pattern will indeed bring new challenges, the most important of which are alignment and the 1x mask/template. For alignment, one imprint tool maker has achieved alignment of +/-7 nm 3 sigma using Moire patterns. For template fabrication, the lack of OPC and other sub-resolution features produced large savings in patterning, but it is nearly cancelled out by the need for more aggressive inspection because of the smaller tolerable defect size. The two combined to make the predicted cost of nanoimprint template to be similar to photomasks for 45-nm half pitch. At 32-nm half pitch, EUVL masks do not have complicated sub-resolution features and are predicted to be cheaper than comparable nanoimprint templates provided that the former’s defect levels can be reduced to what is required for economical manufacturing. In both cases, the challenges are not insurmountable and solutions are being actively pursued. However, if nanoimprint is indeed the disruptive technology to photolithography, it needs to take its initial aim at the low-end market rather than mount a frontal challenge at semiconductor manufacturing, which is the high-margin customers that photolithography will pursue and protect at all cost. The recent development in nanotechnology will lead to the commercialization of a new class of nanoscale devices requiring a high-resolution lithographic technique that does not have all the functionalities of photolithography. This approach will provide an initial customer base for nanoimprint to develop and improve and position it to challenge photolithography in the distant future.
EUV Systems I
icon_mobile_dropdown
EUV microexposures at the ALS using the 0.3-NA MET projection optics
Patrick Naulleau, Kenneth A. Goldberg, Erik Anderson, et al.
The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory’s Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to sigma=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm.
One small step: world's first integrated EUVL process line
Jeanette M. Roberts, Terence Bacuita, Robert L. Bristol, et al.
The Intel lithography roadmap calls for Extreme Ultraviolet Lithography (EUVL) to be used for the 32 nm node. With the installation of the EUV Micro-Exposure Tool (MET) complete, Intel now has the world's first integrated EUVL process line including the first commercial EUV exposure tool. This process line will be used to develop the EUV technology, including mask and resist, and to investigate issues such as defect printability. It also provides a test-bed to discover and resolve problems associated with using this novel technology in a fab (not lab) environment. Over 22,000 fields have been exposed, the discharge-produced plasma light source has operated for 50,000,000 pulses, 8 masks have been fabricated, and 8 resists have been characterized. The MET combines high resolution capability with Intel's advanced processing facilities to prepare EUVL for high-volume manufacturing (HVM). In this paper we review the MET installation and facilities, novel capabilities of the linked track, data on optics quality and modeled tool capability, and the MET mask fabrication process. We present data on tool performance including printing 45 nm 1/2 pitch lines with 160 nm depth of focus and 27 nm isolated lines. We show tool accuracy and repeatability data, and discuss issues uncovered during installation and use.
High-resolution EUV imaging tools for resist exposure and aerial image monitoring
M. Booth, O. Brisco, A. Brunton, et al.
Key features are presented of two high-resolution EUV imaging tools: the MS-13 Microstepper wafer exposure and the RIM-13 reticle imaging microscope. The MS-13 has been developed for EUV resist testing and technology evaluation at the 32nm node and beyond, while the RIM-13 is designed for actinic aerial image monitoring of blank and patterned EUV reticles. Details of the design architecture, module layout, major subsystems and performance are presented for both tools.
Development of the ASML EUV alpha demo tool
Hans Meiling, Vadim Banine, Noreen Harned, et al.
As the predecessor for Extreme Ultraviolet Lithography (EUVL) production tools, ASML is realizing a development exposure tool, the alpha demo tool. The main objectives for undertaking this effort are to minimize the risks of changing to a new lithographic technology in production and to support the development of the global infrastructure of masks, sources, and resist. For this, initial imaging of the alpha demo tool is aimed at features consistent with teh 45-nm technology node. In this paper we will present the status of the realization of the alpha demo tool. Several modules of the system have been integrated in the main body, and results of the system (vacuum) performance. We will summarize the current status of EUV sources including the recent work on alternatives to using Xe, report on our in-house source research, and provide an update on the fabrication of EUV optics. Polishing data of the projection optics mirrors shows that not only have we realized the requirements for 45-nm imaging, but also are we well underway in meeting the imagin requirements for production EUVL at the 32-nm node and beyond. Finally, since key to the commercial success of EUVL will be the availability of the infrastructure for reticles and resist, we will summarize the general status of EUV masks and resist.
Lithographic performance of high-numerical-aperture (NA=0.3) EUV small-field exposure tool (HINA)
H. Oizumi, Y. Tanaka, F. Kumasaka, et al.
Three sets of projection optics (Sets 1, 2, and 3) were fabricated to the mark of a wave front error (WFE) of less than 1 nm. The RMS WFE is 7.5 nm for Set 1, 1.9 nm for Set 2, and at most 0.9 nm for Set 3. In addition, the RMS mid-spatial frequency roughness (MSFR), which affects flare, is 0.34 nm for Set 2 and 0.17 nm for Set 3. This paper discusses the current lithographic performance of HINA, especially the evaluation of flare and the replication of fine-pitch patterns. Several EUV masks were fabricated to evaluate the effects of flare and to replicate fine-pitch patterns. In the case of Set 2 optics, 90 nm lines and spaces were barely delineated using a bright-field mask due to the RMS MSFR of 0.34 nm, and replication of 70 nm lines and spaces were achieved using a dark-field mask. Since the RMS WFE and the RMS MSFR for Set 3 optics are half as much as that for Set 2 optics, the lithographic performance of HINA is markedly improved. 50 nm lines and spaces of non-chemically-amplified resist were delineated with the illumination condition of a partial coherence, σ, of 0.8 and 45 nm lines and spaces were delineated with the annular illumination condition of outer σ of 0.8 and inner σ of 0.5. In addition ultimate resolution of 30 nm lines and spaces of chemically-amplified resist was performed under the coherent illumination condition of σ of 0.0.
EUV Optics/Materials
icon_mobile_dropdown
Comparison of EUV interferometry methods in EUVA project
We are developing an at-wavelength interferometer for EUV lithography systems. The goal is the measurement of the wavefront aberration for a six-aspherical mirror projection optic. Among the six methods that EEI can measure, we selected CGLSI and PDI for comparison. PDI is a method well-known for its high accuracy, while CGLSI is a simple measurement method. Our comparison of PDI and CGLSI methods, verified the precision of the CGLSI method. The results show a difference between the methods of 0.33nm RMS for terms Z5-36. CGLSI measurement wavefronts agree well with PDI for terms Z5-36, and it is thought of as a promising method. Using FFT analysis, we estimated and then removed the impact of flare on the wavefront. As a result of having removed the influence of flare, the difference between CGLSI and PDI improved to only 0.26nm RMS in Zernike 5-36 terms. We executed PDI wavefront retrieval with FFT, which has not been used till now. By confirming that the difference between methods using FFT and Phase shift is 0.035nm RMS for terms Z5-36, we have proven that PDI wavefront analysis with FFT is possible.
Oxidation resistance of Ru-capped EUV multilayers
Sasa Bajt, Zu Rong Dai, Erik J. Nelson, et al.
Differently prepared Ru-capping layers, deposited on Mo/Si EUV multilayers, have been characterized using a suite of metrologies to establish their baseline structural, optical, and surface properties in as-deposited state. The same capping layer structures were tested for their thermal stability and oxidation resistance. Post-mortem characterization identified changes due to accelerated tests. The best performing Ru-capping layer structure was studied in detail with transmission electron microscopy to identify the grain microstructure and texture. This information is essential for modeling and performance optimization of EUVL multilayers.
Investigation of plasma-induced erosion of multilayer condenser optics
Richard J. Anderson, Dean A. Buchenauer, K. A. Williams, et al.
Experiments are presented that investigate the mechanistic cause of multilayer erosion observed from condenser optics exposed to EUV laser-produced plasma (LPP) sources. Using a Xe filament jet source excited with Nd-YAG laser radiation (300 mJ/pulse), measurements were made of material erosion from Au, Mo, Si and C using coated quartz microbalances located 127 mm from the plasma. The observed erosion rates were as follows: Au=99nm/106 shots, Mo= 26nm/106 shots, Si=19nm/106 shots, and C=6nm/106 shots. The relative ratio Au:Mo:Si:C of erosion rates observed experimentally, 16:4:3:1 compares favorably with that predicted from an atomic sputtering model assuming 20 kV Xe ions, 16:6:4:1. The relative agreement indicates that Xe-substrate sputtering is largely responsible for the erosion of Mo/Si multilayers on condenser optics that directly face the plasma. Time-of-flight Faraday cup measurements reveal the emission of high energy Xe ions from the Xe-filament jet plasma. The erosion rate does not depend on the repetition rate of the laser, suggesting a thermal mechanism is not operative. The Xe-filament jet erosion is ~20x that observed from a Xe spray jet. Since the long-lived (millisecond time scale) plasma emanating from these two sources are the same to within ~30%, sputtering from this long-lived plasma can be ruled out as an erosion agent.
Substrate smoothing for high-temperature condenser operation in EUVL source environments
Regina Soufli, Sherry L. Baker, Susan Ratti, et al.
We are developing polymer smoothing processes on diamond-turned (metal) and ground (metal or ceramic) substrates to reduce high and mid-spatial frequency roughness, for implementation as EUVL condenser optics. Diamond-turning or grinding can be used as relatively inexpensive processes to obtain the specified optic figure, however, the resulting surface has high-spatial roughness in the order of tens or hundreds of Angstroms, which would prohibit normal incidence operation at EUV wavelengths due to extremely low reflectance. Our polymer smoothing process reduces roughness to a few Angstroms, thus enabling normal-incidence operation. The substrate material and smoothing film have to combine a unique set of properties such as chemical compatibility, high thermal stability and low stress to be able to operate inside alternative-fuel EUVL source environments. Experimental results are presented on the development, testing and performance of these novel substrates.
Low thermal expansion substrate material for EUVL components application
Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari
The material capability of CLEARCERAM-Z series for EUVL components (Mask, Mirror and Structural application) was investigated. Data for an existing specification and key characteristics for each application were collected and the material performances were evaluated. For mask substrate application, the inter lot and intra block CTE uniformity to meet Class A in SEMI P37 standard with a statistical confidence, and the surface roughness & flatness to meet the SEMI specifications were demonstrated for CLEARCERAM-Z HS. For mirror application, the size availability up to Dia.780mm and the material uniformity equivalent to optical glass were confirmed for CLEARCERAM-Z HS products. Also ion beam figuring results showed a linear removal controllability by processing time and no practical change in the base line profile in mid spatial frequency roughness region of the post figured surface. For structure application, demonstrative processing tests by utilizing possible techniques to produce the structural components for EUVL were done. In the R&D activities for new materials, advantageous improvements on CTE stability over temperature and smoother surface finish than conventional CLEARCERAM-Z series were presented with a material uniformity equivalent to the conventional products.
Advanced Mask Characterization I
icon_mobile_dropdown
Development of low damage mask making process on EUV mask with thin CrN buffer layer
Mitsuhiro Kureishi, Ryo Ohkubo, Morio Hosoya, et al.
Low damage processes for an EUV mask consisting of an LR-TaBN absorber and a thin CrN buffer layer with a thickness of 10-nm have been successfully demonstrated through a dry etching process with high selectivity for the absorber, AFM and EB repair processes, and damage less dry etching process of the CrN buffer layer. Deploying an ICP etching process using CHF3 gas, we achieved high etching selectivity of 40 between the LR-TaBN absorber and the CrN buffer and LR-TaBN absorber patterns with nearly vertical sidewalls of a feature size of 150-nm in width. Damage to the multilayer film and the CrN buffer induced by repair process was evaluated using a LR-TaBN mask with a 10-nm thick CrN buffer layer via AFM machining and EB etching techniques. Cross sectional TEM analysis of the repaired mask indicated that the multilayer film showed no significant structural damage, against optimized AFM and EB repair processes. Since the CrN buffer lost a mere 1 nm in thickness in the EB etching process, EB repair appear to represent a promising damage-free repair technique for EUV masks with CrN buffer layers. The reflectivity loss on the multilayer film, caused by dry etching of CrN buffer layer with Cl2 and O2 mixed gases, was improved by an etching process under relatively high pressure. The CrN buffer layer can be etched for the patterns of 150-nm in width without footing at 50% overetch. The results confirm that the reflectivity losses on multilayer film are within 1% after undergoing the improved CrN buffer etching process.
Progress towards the development of a commercial tool and process for EUVL mask blanks
Andy Ma, Patrick Kearney, Dave Krick, et al.
Extreme ultraviolet lithography (EUVL) is the leading next generation lithography (NGL) technology to succeed optical lithography at the 32 nm nodes and beyond. The technology uses a multilayer-based reflective optical system and the development of suitable, defect-free mask blanks is one of the two greatest challenges facing the commercialization of EUVL. In this paper we describe recent progress towards the development of a commercial tool and process for the production of EUVL mask blanks. Using the resources at the recently formed Mask Blank Development Center at SEMATECH-North we have been able to decrease the mean multilayer-coating-added defect density by almost an order of magnitude, from ~0.5 defects/cm2 to ~0.055 defects/cm2 for particles ≥ 80 nm in size (PSL equivalent). We have also obtained a "champion" mask blank with an added defect density of only ~ 0.005 defects/cm2. This advance was due primarily to a compositional analysis of the particles followed by tool and procedural upgrades based on best engineering practices and judgment. Another important specification for masks blanks is the coating uniformity and results showing good uniformity with the low defect density coating process are also presented.
EUV mask blank readiness for 45-nm HP 2009 manufacturing
For successful commercialization of Extreme Ultraviolet (EUV) lithography essentially defect free mask blanks are required by 2009 for the 45nm Half Pitch (HP). SEMATECH has been engaging with the mask blank materials and tool supplier community for several years and has evaluated rates of improvements against the needed alpha, beta, and production performance levels required to support EUV lithography introduction in 2009. Significant improvements in many performance levels must be achieved with simultaneous specifications including defectivity, reflectivity, wavelength control, and buffer / absorber stack performances. Although some commercial capability exists today for limited "alpha" level grade blank materials there are several orders of magnitude improvement needed in defectivity levels coupled with defect size detection sensitivity. Although coordinated regional development programs for mask blanks have high effort levels rapid improvements are required to meet the 45nm HP timing in 2009 that is just 5 short years away. Traditional supplier rates of improvements may not be enough to meet the need by 2009. This paper will illustrate the general rate of improvements and further developments or innovative solutions that may be needed in several areas. The SEMATECH EUV mask blank development roadmap will be reviewed with SEMATECH's perspective of commercial readiness predictions by 2009.
Recent results on EUV mask blank multilayers and absorbers
Holger Seitz, Frank Sobel, Markus Renno, et al.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass mask blanks. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection up to new absorber layers with improved dry etching and inspection properties. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Further R&D is ongoing to path the way to the production of EUV mask blanks which meet all requirements An important focus of this report is to present recent results on EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range. In addition a new design of EUVL absorber material will be reported, including optical performance at inspection wavelength, dry etch performance and resistance to cleaning steps. Finally improvements on our metrology methods for EUVL components, such as high throughput EUV-reflectometry will be elucidated.
Nanoimprint I
icon_mobile_dropdown
Simulation of fluid flow in the step and flash imprint lithography process
Step and Flash Imprint Lithography (SFIL) is a photolithography process in which the photoresist is dispensed onto the wafer in its liquid monomer form and then imprinted and cured into a desired pattern instead of using traditional optic systems. The mask used in the SFIL process is a template of the desired features that is made using electron beam writing. Several variable sized drops of monomer are dispensed onto the wafer for imprinting. The base layer thickness at the end of the imprinting process is typically about 50 nm, with an approximate imprint area of one square inch. This disparate length scale allows simulation of the fluid movement through the template-wafer channel by solving governing fluid equations that are simplified by lubrication theory. Capillary forces are also an important factor governing fluid movement; a dimensionless number known as the capillary number is used to describe these forces. This paper presents a simulation to model the flow and coalescence of the multiple fluid drops and the effect the number of drops dispensed has on final imprint time. The imprint time is shown to decrease with the use of increasing numbers of drops or with the use of an applied force on the template. Appropriate filling of features in the template is an important issue in SFIL, so a mechanism for handling the interface movement into features using a modified boundary condition is outlined and examples are. Fluid spreading outside of the mask edge is also an issue that is resolved by results from this study. The simulation is thus a useful predictive tool providing insight on the effect multiple drop configurations and applied force have on imprint time, as well as providing a means for predicting feature filling.
Direct imprinting of dielectric materials for dual damascene processing
Advanced microprocessors require several (eight or more) levels of wiring to carry signal and power from transistor to transistor and to the outside world. Each wiring level must make connection to the levels above and below it through via/contact layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around twenty process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. By using an imprint template with two levels of patterning, a single imprint lithography step can replace two photolithography steps. Further efficiencies are possible if the imprint resist material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.
Development of an etch-definable lift-off process for use with step and flash imprint lithography
Along with other Next Generation Lithography (NGL) methods, imprint lithography has been included on the International Roadmap for Semiconductors (ITRS) for the 32 nm node, predicted to be production-ready by 20131. Step and Flash Imprint Lithography (S-FIL) is one of the imprinting technologies being pursued due to its impressive imprinting capabilities, where imprinted features of less than 30 nm have been demonstrated. Unlike optical-based lithography, S-FIL uses techniques similar to that of contact printing, and thereby does not require complex and expensive optics and light sources to create images. Couple this with a reliable pattern transfer, and S-FIL could become a contender as a viable NGL technology. Similar to other imprint lithography systems, S-FIL printed features possess a residual layer several hundred angstroms thick, which requires a breakthrough etch prior to etching a subsequent layer. Of a greater concern, however, is the etch barrier used as the imaging layer for S-FIL. The present silicon content is limited to approximately nine percent, and the formulation is optimized for dispensing and achieving mechanical properties for the imprinting process. As a result, oxygen-based plasmas typically used for pattern transferring more conventional bi-layer structures are not compatible with the current S-FIL resist stack, and therefore pose a challenge from an etch perspective. The development of a recent etch process incorporating an ammonia-based plasma was a key enabler for pattern transfer, and ongoing development is being done to improve critical dimensions (CD). In this study, we examined a lift-off process using S-FIL. The material stacks with and without a "glue" layer will be discussed, and the challenges from imprinting to etch will be shared. Finally, the lift-off process will be used to demonstrate fabrication of a surface acoustic wave (SAW) device in addition to demonstrating patterning of a non-reactive metallization scheme such as Ti/Au.
Step and repeat UV-nanoimprint lithography using a large area stamp
Jun-ho Jeong, Young-suk Sim, Hyonkee Sohn, et al.
Ultraviolet-nanoimprint lithography (UV-NIL) is a promising method for cost-effectively defining nanoscale structures at room temperature and low pressure. To apply a large-area stamp to step-and-repeat UV-NIL in an atmospheric environment for high-throughput, we proposed a new step-and-repeat UV-NIL process using an elementwise patterned stamp (EPS), which consists of elements separated by channels. The proposed UV-NIL is able to imprint an 8-in. wafer with a 5 sq. in EPS in four times. 50 - 80 nm features of the EPS were successfully transferred over 8-in. wafers. The experiments demonstrated that a large-area EPS in the step-and-repeat UV-NIL can be used for imprinting 8-in. wafers in an atmospheric environment.
EUV Source I
icon_mobile_dropdown
EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: an update on GDPP and LPP technology
U. Stamm, J. Kleinschmidt, K. Gabel, et al.
In the paper we report about the progress made at XTREME technologies in the development of EUV sources based on gas discharge produced plasma (GDPP) technologies and laser produced plasma (LPP) technologies. First prototype xenon GDPP sources of the type XTS 13-35 based on the Z-pinch principle with 35 W power in 2π sr have been integrated into micro-exposure tools from Exitech, UK. Specifications of the EUV sources and experience of integration as well as data about component and optics lifetime are presented. In the source development program for Beta exposure tools and high volume manufacturing exposure tools both tin and xenon have been investigated as fuel for the EUV sources. Development progress in porous metal cooling technology as well as pulsed power circuit design has led to GDPP sources with xenon fuel continuous operating with an output power of 200 W in 2π sr at 4500 Hz repetition rate. With tin fuel an output power of 400 W in 2π sr was obtained leaving all other conditions unaltered with respect to the xenon based source. The performance of the xenon fueled sources is sufficiently good to fulfill all requirements up to the beta tool level. For both the xenon and the tin GDPP sources detailed data about source performance are reported, including component lifetime and optics lifetime. The status of the integration of the sources with grazing incidence collector optics is discussed. Theoretical estimations of collection efficiencies are compared with experimental data to determine the loss mechanisms in the beam path. Specifically contamination issues related to tin as target material as well as debris mitigation in tin sources is addressed. As driver lasers for the LPP source research diode-pumped Nd:YAG lasers have been used to generate EUV emitting plasma. As target material xenon has been employed. Conversion efficiencies have been measured and currently the maximum conversion efficiency amounts to 1 %. The laser driver power of 1.2 kW is currently achieved with a masteroscillator power-amplifier industrial Nd:YAG laser configuration. With this laser, xenon based EUV sources have achieved 10 W EUV power at 13.5 nm emitted into 2π sr solid angle. For the xenon LPP sources detailed data about the achieved source performance including component lifetime and optics lifetime are reported. The status of the integration of the sources with normal incidence collector optics is shown. The potentials and limits of Z-pinch GDPP and LPP EUV source technologies to achieve high volume manufacturing specifications are discussed in this paper.
EUV source system development update: advancing along the path to HVM
The EUV light source has been characterized as the top-priority critical issue facing the viability of EUV lithography. Cymer's extensive EUV source development efforts have focused both on the technical feasibility of various approaches as well as the critical issue of commercial feasibility to reach high volume manufacturing (HVM) requirements. We present a comprehensive summary of performance data from a state-of-the-art operational EUV source that thoroughly characterizes technical issues such as conversion efficiency, source material delivery, collector coatings, protection techniques and the path to higher and higher EUV power. Additionally, we present analysis of this performance data when compared to HVM requirements. Finally, we also briefly investigate the associated implications of the cost of consumables (COC) for a production EUV light source.
Integrating Philips’ extreme UV source in the alpha-tools
Joseph Pankert, Rolf Apetz, Klaus Bergmann, et al.
The paper describes recent progress in the development of the Philips's EUV source. Progress has been realized at many frontiers: Integration studies of the source into a scanner have primarily been studied on the Xe source because it has a high degree of maturity. We report on integration with a collector, associated collector lifetime and optical characteristics. Collector lifetime in excess of 1 bln shots could be demonstrated. Next, an active dose control system was developed and tested on the Xe lamp. Resulting dose stability data are less than 0.2% for an exposure window of 100 pulses. The second part of the paper reports on progress in the development of the Philips' Sn source. First, the details of the concept are described. It is based on a Laser triggered vacuum arc, which is an extension with respect to previous designs. The source is furbished with rotating electrodes that are covered with a Sn film that is constantly regenerated. Hence by the very design of the source, it is scalable to very high power levels, and moreover has fundamentally solved the notorious problem of electrode erosion. Power values of 260 W in 2p sr are reported, along with a stable, long life operation of the lamp. The paper also addresses the problem of debris generation and mitigation of the Sn-source. The problem is attacked by a combined strategy of protection of the collector by traditional means (e.g. fields, foiltraps... ), and by designing the gas atmosphere according to the principles of the well known halogen cycles in incandescent lamps. These principles have been studied in the Lighting industry for decades and rely on the excessively high vapor pressures of metal halides. Transferred to the Sn source, it allows pumping away tin residues that would otherwise irreversibly deposit on the collector.
Performance of kilowatt-class laser modules in scaling up laser produced plasma (LPP) EUV source
Samir Ellwi, Andrew Comley, Nick Hay, et al.
Powerlase has made significant steps forward in developing reliable and cost-effective, kilowatt-class laser modules with short pulse duration and small footprint, for use as EUV drivers. These characteristics in parallel to EUV target requirements are essential for the generation of 115W of in-band EUV power at the intermediate focus. These laser modules can be coupled to the EUV target by using our flexible spatial and temporal multiplexing approach in order to scale up the laser average power on target. The multiplexing method developed by Powerlase is modular and optimised for maximum EUV collection angle. To further this goal we are currently evaluating target materials such as xenon in various phases and forms and also have a programme in place to investigate suitable tin targets.
High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL
Light sources based on laser plasmas using tin as target material are known to provide high conversion efficiency of laser power to emission in the 13.5 nm spectral region. In addition, laser plasmas produced from microscopic droplet targets enable the utilization of the mass-limited concept which minimizes the effect of target debris produced from the laser plasma interaction. By combining the mass-limited target concept and tin as the choice of target material, we are developing an extreme-ultraviolet (EUV) light source that can supply high power while remaining essentially debris-free. This source uses tin-doped microscopic droplet liquid targets that are generated at high-repetition rates (>30 kHz), which allows convenient upward power scaling when coupled with a high averaged-power laser. Detailed studies of the radiation from this source have been made using a precision Nd:YAG laser. Broad parametric studies of the conversion efficiency along with in-band spectroscopy of this EUV source have been performed. The parametric dependence of conversion efficiency is established based on measurements made by the Flying Circus diagnostic tool and a calibrated high-resolution flat-field spectrometer. These measurements have been independently confirmed by the Flying Circus 2 team.
EUV Systems II
icon_mobile_dropdown
Characterization of flare on Intel’s EUV MET
Measurements of flare as a function of feature size and orientation have been made on Intel's EUV Micro-Exposure Tool (MET). The predicted value for intrinsic flare from Mid-Spatial Frequency Roughness (MSFR) of mirror surfaces is 3.5%. After addition of the contribution to flare from figure error to that from MSFR, the modeled value is in excellent agreement to the measured flare for the 1 um line of 4.5%. The measured flare in the Horizontal (H) direction is 5% and is slightly higher than the flare in the Vertical (V) direction. Flare variation across the field is less than 1%.
Lithographic measurement of EUV flare in the 0.3-NA micro exposure tool optic at the Advanced Laser Source
Jason P. Cain, Patrick Naulleau, Costas J. Spanos
The level of flare present in a 0.3-NA EUV optic (the MET optic) at the Advanced Light Source at Lawrence Berkeley National Laboratory is measured using a lithographic method. Photoresist behavior at high exposure doses makes analysis difficult. Flare measurement analysis under scanning electron microscopy (SEM) and optical microscopy is compared, and optical microscopy is found to be a more reliable technique. In addition, the measured results are compared with predictions based on surface roughness measurement of the MET optical elements. When the fields in the exposure matrix are spaced far enough apart to avoid influence from surrounding fields and the data is corrected for imperfect mask contrast and aerial image proximity effects, the results match predicted values quite well. The amount of flare present in this optic ranges from 4.7% for 2 mm features to 6.8% for 500 nm features.
EUV focus sensor: design and modeling
We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using a single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wave-lengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput opti-mizing the signal-to-noise ratio in the measured intensity contrast.
Posters: EUV Systems
icon_mobile_dropdown
Flare and lens aberration requirements for EUV lithographic tools
EUV lithographic tools can support the 32 nm MPU manufacturing node and beyond. In order to meet the stringent requirements on CD control and overlay for such technology generations, wavefront error and flare of the EUV exposure systems have to be well controlled. The cross field variations of wavefront errors and flare need to be in the acceptable range in order to improve the common Depth of Focus (DoF) across the field. The impacts of lens aberration and flare to the aerial image at the system level are studied for the 32nm MPU technology node using Intel's aerial image simulation tool. The focus control budget of the exposure tools has been estimated. Useable Depth of Focus (UDoF) has been defined, and focus margin between UDoF and focus control budget from the exposure tool has been calculated for various cases. Focus margin has been used to determine the flare and lens aberration requirements for the 32nm MPU node. It is found that <10% intrinsic flare and <0.75nm rms lens aberration are required for the 32nm MPU node. Process window as a measure of individual aberration terms for the 32nm node has been also investigated.
EUV Systems II
icon_mobile_dropdown
Layout compensation for EUV flare
Flare has been noted as a significant concern for Extreme Ultraviolet (EUV) Lithography. Recent results on prototype tools have shown flare on the order of 40% in extreme cases. This is far from the ideal result. Flare compensation for EDA software tools such as Mentor Graphics’ Calibre RET Suite has been developed, and can be used to compensate density dependent fluctuations in conventional DUV lithography. This can be as simple as making corrections using rules for the variations of isolated and dense lines in an environment with prescribed flare, or a more complex correction incorporating flare into model-based OPC. Flare in EUV systems, however, has been shown to be non-uniform, with complex variations. In this presentation, we describe this flare correction technique and explore the correction of typical IC layouts that would be required to compensate for reported EUV flare values.
Maskless Lithography
icon_mobile_dropdown
Zone-plate-array lithography (ZPAL): optical maskless lithography for cost-effective patterning
Rajesh Menon, Amil Patel, David Chao, et al.
Zone-Plate-Array Lithography (ZPAL) is an optical-maskless-lithography technique, in which an array of tightly focused spots is formed on the surface of a substrate by means of an array of high-numerical-aperture zone plates. The substrate is scanned while an upstream spatial-light modulator, enabling "dot-matrix" style writing, modulates the light intensity in each spot. We have built a proof-of-concept system using an array of zone plates, and the Silicon Light Machines Grating Light Valve (GLVTM) as the light modulator. We have demonstrated fully multiplexed writing, multilevel alignment and resolution corresponding to k1 < 0.3. This system currently operates at l = 400nm and utilizes well-known I-line processes. Diffractive optics such as zone plates offer significant advantages over refractive approaches since near-ideal performance is achieved on axis, reliable planar fabrication techniques are used, costs are low, and the approach can be readily scaled to shorter wavelengths. In this paper, we also developed models and analyzed the cost-of-ownership of maskless lithography (ZPAL) versus that for optical-projection lithography (OPL). In this context, we propose the use of an effective throughput to consider the photomask delivery times in the case of OPL. We believe that ZPAL has the potential to become the most practical and cost-effective method of maskless lithography, enabling circuit designers to fully exploit their creativity, unencumbered by the constraints of mask-based lithography. This may revolutionize custom circuit design as well as research in electronics, NEMS, microphotonics, nanomagnetics and nanoscale science and engineering.
Arrayed microcolumns for high throughput lithography
Ho Seob Kim, Dae-Wook Kim, Seung Joon Ahn, et al.
The small size of the microcolumn opens the possibility for arrayed operation on a scale commensurate. An arrayed microcolumn test-bed system has been developed for high throughput applications. The arrayed microcolumns based on of Single Column Module (SCM), and Wafer-Scale Column Module (WCM) concepts have been fabricated and successfully demonstrated. Low energy microcolumn lithography has been operated in the energy range from 100 eV to 1 keV for the generation of nano patterns. Probe beam current at the sample was measured about 2 nA at a total beam current of ~0.4 mA. The magnitude of probe beam current is strong enough for the low energy lithography. The thin layers of PMMA resist have been employed. The results of nano-patterning by low energy microcolumn lithography will be discussed.
Maskless EUV lithography via optically addressed modulator
A new approach [1] to maskless EUV lithography is presented. It is based on a modulator that converts a deep ultraviolet (DUV) intensity pattern into an EUV phase pattern. The EUV phase pattern is then imaged with reduction via a 'conventional' EUV projection optic to create an intensity pattern on a wafer with pixel size of the order of 20nm and feature size of the order of 35nm [2]. The modulator consists, in one version, of a two-dimensional array of small EUV multilayer mirrors, each mounted on an elastomer pad. The required phase information is generated when the pads expand in response to the heat input pattern of the DUV programming beam. The fastest DUV writing method uses a mask, as in present day production lithography, so the proposed process is really a hybrid and is only maskless in the EUV stage. If the modulator is scanned this imaging process has the usual advantage of redundancy [3] in that as many as 100 different mirrors contribute on successive pulses to the intensity at a single feature on the wafer. Throughput is high and will be discussed for a typical case. Higher throughput may require larger DUV field size than is currently used in production. Modulator fabrication will be discussed.
Proof-of-concept tool development for projection mask-less lithography (PML2)
Hans-Joachim Doering, Thomas Elster, Joachim Heinitz, et al.
Electron beam based Projection Mask-Less Lithography (PML2) is one of the promising candidates for small and medium volume device production for the 45nm technology node and beyond. The concept of the PML2 proof-of-concept tool, to be realized as part of the European MEDEA+ project T409, comprises a single electron optical column, a multi beam blanking device (programmable "Aperture Plate System") including high speed optical data path and a scanning 300mm wafer stage. More than 250.000 beams will be projected onto the wafer used for a highly redundant scanning stripe exposure process. A demonstrator chip of the Aperture Plate System is being manufactured with > 1000 apertures of 5μm x 5μm size using standard MST processes. Results as achieved with this demonstrator chip using a specifically designed e-beam test bench are shown. Furthermore, the realtime data transmission concept is discussed, showing that with the selected technology the required data rates for the PML2 proof-of-concept tool can be delivered, with extendibility beyond. Viability of the optical data pattern transfer to the Aperture Plate System is shown using a test setup of the parallel high-speed transmission lines.
Complexity reduction for C4 compression for implementation in maskless lithography datapath
Achieving the throughput of one wafer per minute per layer with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In previous work, we have shown that lossless binary compression plays a key role in the system architecture for such a maskless writing system. Recently, we developed a new compression technique Context-Copy-Combinatorial-Code (C4) specifically tailored to lithography data which exceeds the compression efficiency of all other existing techniques including BZIP2, 2D-LZ, and LZ77. The decoder for any chosen compression scheme must be replicated in hardware tens of thousands of times in any practical direct write lithography system utilizing compression. As such, decode implementation complexity has a significant impact on overall complexity. In this paper, we explore the tradeoff between the compression ratio, and decoder buffer size for C4. Specifically, we present a number of techniques to reduce the complexity for C4 compression. First, buffer compression is introduced as a method to reduce decoder buffer size by an order of magnitude without sacrificing compression efficiency. Second, linear prediction is used as a low-complexity alternative to both context-based prediction and binarization. Finally, we allow for copy errors, which improve the compression efficiency of C4 at small buffer sizes. With these techniques in place, for a fixed buffer size, C4 achieves a significantly higher compression ratio than those of existing compression algorithms. We also present a detailed functional block diagram of the C4 decoding algorithm as a first step towards a hardware realization.
Nanoimprint II
icon_mobile_dropdown
Mask fabrication towards sub-10 nm imprint lithography
Jian Gu, Chun-Ping Jen, Qihuo Wei, et al.
We report for the first time the use of orientation dependent etching (ODE) of (110) c-Si in sidewall thin film technology for imprint mask fabrication with low line edge roughness (LER) over a large area. Oxidation is used for sidewall thin film formation with a good critical dimension control. 2-dimensional oxidation effects are discussed. Features down to 12 nm have been fabricated successfully. Simulation shows that the fabricated oxide line is strong enough to imprint both thermoplastic and photo-curable imprint resists.
Fabrication of a 3D nano-imprint template with a conformal dry vapor deposited electron beam resist
Jacques Beauvais, Eric Lavallee, Andrew Zanzal, et al.
Nano-Imprint lithography has garnered much interest in the microlithography and nano-fabrication communities, and appears on the ITRS as a possible future lithography solution. The promise of this approach includes realization of ultimately finer features than might be possible through optical lithography and simplification of mask pattern complexity through the elimination of optical enhancements such as phase shifting and optical proximity correction. Imprinting approaches have demonstrated that sub-50nm lithography of 2D structures is possible using this approach. A potentially enabling method to enhancing imprint lithography is to add additional structures to a 2D template to form 3D profiles, thereby realizing additional benefits that can be achieved through imprinting 3D structures. In this paper we discuss fabrication of such a template, which has the potential to eliminate masking layers by allowing for two or more layers to be imprinted with a single template. A 2D template is formed on a fused silica substrate using Quantiscript's QSR-5 sterol-based vapor deposited electron beam resist, low energy e-beam lithography and reactive ion etching of the underlying substrate. Vapor deposition is especially conducive for patterning ultra thin (<50nm) layers of resist on imprint templates where high resolution structures on the order of 50-100nm are desired. After complete fabrication of the 2D template, a second resist vapor deposition, lithography and etch sequence is performed to add the 3D structures. Since the QSR-5 vapor deposited resist exhibits substrate conformal properties, uniformly thin coatings can be achieved on both 2D surfaces, allowing for high resolution trench-bottom or ridge/mesa-top lithography and processing while simultaneous protection of the initial 2D structures is realized.
High durable mold fabricated with hot-embossing a sol-gel derived organically modified silicate film
Eri Ito, Kenjiro Hasui, Masahiro Tomiki, et al.
A high durable and low-cost mold was fabricated with hot-embossing a sol-gel derived organically modified silicate film using a commercially available grating as a mother mold. Close pattern transfer from the mother mold to the film (daughter mold) was confirmed. The thermooptic coefficient of the daughter mold was measured and found to be unchanged during 9 times heating and cooling cycle. This result implies that the mold has no residual stress and so leads to fine patterning in embossing process. We hot-embossed polymethyl methacrylate (PMMA) films not with the expensive mother mold, but with the daughter mold. More than thirty PMMA grating replicas could be successively fabricated using one daughter mold without cleaning and treating the surface. This is due to the hydrophobicity of the daughter mold. After heating at 250°C for 120 hours, the water contact angle was approximately above 90°, and so the daughter mold did not lose its hydrophobicity. Because of the hydrophobicity, no significant problem that the daughter mold stuck to the PMMA film was observed for the successive embossing. It was confirmed with AFM observation that there was no difference in pattern dimension between the daughter mold and PMMA replicas. Hence, the daughter mold is high durable. Moreover, we measured the transmitted diffraction light power of the fabricated PMMA gratings, and found that there was no difference in the diffraction efficiency of the gratings. Therefore, the daughter mold is suitable for low-cost hot embossing of polymer materials.
Perfluoropolyethers as novel materials for soft lithography
Jason P. Rolland, Eric C. Hagberg, Kenneth R. Carter, et al.
Photocurable, liquid perfluoropolyethers (PFPEs) are ideal materials for high resolution (<100 nm) pattern transfer and imprint lithographic processes. PFPEs possess attributes of both elastomers and rigid materials, exhibit a remarkably low surface energy, mold extremely small features with high fidelity (minimal shrinkage), resist swelling by most organics, endure repetitive molding procedures, and out-perform routinely-used polydimethylsiloxane when replicating sub-micron sized features. We report nanoscale replicas of substrates, and the use of these replicas as molds, having features as small as 70 nm with no apparent loss of resolution.
Pattern fidelity in nanoimprinted films using CD-SAXS
The primary measure of process quality in nanoimprint lithography (NIL) is the fidelity of pattern transfer, comparing the dimensions of the imprinted pattern to those of the mold. As a potential next generation lithography, NIL is capable of true nanofabrication, producing patterns of sub-10 nm dimensions. Routine production of nanoscale patterns will require new metrologies capable of non-destructive dimensional measurements of both the mold and the pattern with sub-nm precision. In this article, a rapid, non-destructive technique termed Critical Dimension Small Angle X-ray Scattering (CD-SAXS) is used to measure the cross sectional shape of both a pattern master, or mold, and the resulting imprinted films. CD-SAXS data are used to extract periodicity as well as pattern height, width, and sidewall angles. Films of varying materials are molded by thermal embossed NIL at temperatures both near and far from the bulk glass transition (TG). The polymer systems include a photoresist, representing a mixture of a polymer and small molecular components, and two pure homopolymers. Molding at low temperatures (T-TG < 40°C) produces small aspect ratio patterns that maintain periodicity to within a single nanometer, but feature large sidewall angles. While the pattern height does not reach that of the mold until very large imprinting temperatures (T-TG ≈ 70°C), the pattern width of the mold is accurately transferred for T-TG > 30°C. In addition to obtaining basic dimensions, CD-SAXS data are used to assess the origin of loss in pattern fidelity.
Advanced Mask Characterization II
icon_mobile_dropdown
EUV mask blank activities at LETI: defect detection at 80 nm
J. Hue, E. Quesnel, V. Muffato, et al.
In this paper, defect counting results are presented both on silicon substrates and on EUV mask blanks with their equivalent PSL size distribution. The measurements are achieved with our counting defect prototype COMNET but also with a commercial tool. Improvements of COMNET have been performed to reach sensitivities (PSL equivalent size on silicon) of 80 nm on silicon substrate, 90 nm on EUV mask blank and 125 nm on glass substrate. A first analysis about COMNET repeatability is presented and the impacts of known errors on defect number and size values are evaluated. Typical counting data measured on a EUV mask blank having an added defect density inferior to 1 def/cm2 (defect size superior to 90 nm) are presented. The analysis of these data shows two main populations of added defects which are characteristic of the deposition process: one close to 100 nm and the other in the micron range. Each group represents half of the total number of added defects. The origins of these defects are finally discussed on the basis of specific experiments chosen to highlight the possible role of the different process steps.
Comparison of EUV mask architectures by process window analysis
Siegfried Schwarzl, Frank-Michael Kamm, Stefan Hirscher, et al.
Several masks have been fabricated and exposed with the small-field Micro Exposure Tool (MET) at the Advanced Light Source (ALS) synchrotron in Berkeley using EUV radiation at 13.5 nm wavelength. Investigated mask types include two different absorber masks with TaN absorber as well as an etched multilayer mask. The resulting printing performance under different illumination conditions were studied by process window analysis on wafer level. Features with resolution of 60 nm and below were resolved with all masks. The TaN absorber masks with different stack thicknesses showed a similar size of process window. The differences in process windows for line patterns were analyzed for 60 nm patterns. The implications on the choice of optimum mask architecture are discussed.
Approximation of three dimensional mask effects with two dimensional features
As an important resolution enhancement technique (RET), alternating aperture phase shift masks (AAPSM) has been widely adopted in 90 nm technology node and beyond. Mask topographical effect due to the 3D nature of the shifter features is becoming an increasingly important factor in lithography modeling. Rigorous 3D modeling of PSM is very computationally demanding thus impractical for full chip optical proximity correction (OPC). Here we introduce an alternative approach employing boundary layers to effectively approximate the 3D mask effect. We will present the model calibration versus real wafer data using the boundary layers and the corresponding OPC correction flow.
A 3D substrate and buried defect simulator for EUV mask blanks
A new ray-tracing method for assessing buried defect printability in EUVL is thoroughly tested for accuracy and speed. The new ray-tracing approach is shown to produce results in excellent agreement with rigorous electromagnetic simulator results using the FDTD method. The new simulator can be as much as 30,000X faster, and use 40-50X less memory than FDTD. While the ray-tracing approach is very accurate, the Single Surface Approximation (SSA) is shown to underestimate defect printability across various focal regions for many defect sizes. An analysis of the reflected spectra shows that the bottom layers of the multilayer do impact the final reflected spectrum, and shows that it is necessary to use information from all layers to accurately compute the final reflected fields. Defect tolerances are calculated with the new simulator for 2D and 3D buried defects coated with the smoothing process developed at LLNL, establishing guidelines for defect printability in the clear field. Buried 3D defects are shown to be sub-printable in the clear field when their size is smaller than about 70nms, but due to the phase nature of the defects, this size tolerance is dramatically reduced to about 40nms at -1RU defocus. Both size and shape of the buried defect are varied to understand their impact on defect printability. It is shown that Gaussian defects actually print worse than box defects due to an entrenchment effect that occurs during the smoothing process.
Simulation analysis of defect repair methods for EUVL Mo/Si multilayer mask blanks
The availability of defect-free masks is a critical concern in EUV lithography. An intensive investigation of defect repair methods for EUVL mask blanks is required because the mitigation of defects has turned out to be much more difficult than anticipated. We investigated the effect of four methods through accurate simulations employing the FDTD method: 1) scooping a multilayer for amplitude defects, 2) EB exposure for phase defects, 3) covering a defect with an absorber pattern, and 4) making intrusions in the absorber pattern near a defect. These methods create structural changes in the masks themselves. We calculated the aerial images of masks with such changes and compared them with that of a perfect mask. It was found that all the methods suppress the degradation in light intensity caused by defects. At the same time, each repair method has some limitations and factors that require special attention. Thus, it is important to choose the most suitable repair method for a given defect.
Electron Projection Lithography
icon_mobile_dropdown
Nikon EPL tool: the latest development status and results
Takaharu Miura, Shintaro Kawata, Kazunari Hada, et al.
Electron Projection Lithography (EPL) is considered one of promising technologies below 45nm node, especially for contact/via holes and gate layers. EPL has some nice features such as very high resolution to be applied for two device nodes, large process margin associated with large depth of focus and an expected lower CoO. Nikon has been developing an EPL tool, so-called EB Stepper. NSR-EB1A is the first EB Stepper that was designed as R&D tool for 65nm technology node and that was already delivered for Selete (Semiconductor Leading Edge Technologies, Inc.) at Tsukuba in Japan. Nikon has developed two NSR-EB1A tools so far, one system for Selete as a 300mm wafer system and the other for Nikon's development and evaluation as a 200mm wafer system. Both tools have already started to show full performance data and good stability characteristics. The latest EB1A tool performance shows very good results in such data as the resolution of 50nm 2:1 L/S and 60nm 1:1 dense contact holes patterns, stitching accuracy of around 18nm, and overlay accuracy of around 20nm(X+3sigma).
The improvement of the overlay accuracy using the reticle distortion correction for EPL technologies
Electron projection lithography (EPL) is one of the most promising candidates for the next generation lithography toward the hp 45 nm-node and beyond. EPL employs a stencil mask made from 200 mm Si wafer without a support frame, therefore chucking of an EPL tool and a metrology tool causes deformation in an EPL reticle. However, linear components of sub-field (SF) position error can be corrected by reticle alignment features of an EPL tool, whereas the non-linear components of SF position error can be corrected where each SF is measured beforehand and the corresponding reticle distortion correction (RDC) data is fed into the EPL exposure tool. The SF position error can be viewed as inter-SF IP error where it can be affected by the repeatability of measurement and by the repeatability of distortions caused by the chucking of the measurement tool and the EPL tool. The other part of inter-SF IP comes from the residual that relates to global IP. Besides inter-SF IP, intra-SF IP can be divided into "local IP" and "pattern distribution". For our studies we have investigated the measurement repeatability of the metrology tool (Nikon XY-6i), distortion repeatabilities caused by the chucking of the metrology tool and the EPL tool (NSR-EB1A), global and local IPs, and pattern distortion. Currently we find the effect of mask IP on wafer scale is less than 9 nm, and we believe that in the near future the EPL mask IP target for the hp 45 nm-node could be realized.
Posters: Electron Projection Lithography
icon_mobile_dropdown
Device based evaluation of electron projection lithography
As we move technology further and further down the geometry scale we are coming upon imaging situations where our use of existing optical lithography is being questioned due to the lack of process margin in manufacturing lines. This is especially apparent in the imaging of contacts where memory devices, that generally have the densest arrays of these features, may no longer be able to print the desired features. To overcome this it is necessary to either modify the design, a very expensive and time consuming process, or find an imaging process capable of printing the desired features. Electron Projection Lithography (EPL) provides an option to print very small features with a large process margin. In this paper we detail the performance of both memory and logic based designs in an EPL process. We detail the manufacture and results of stencil mask manufacture. Data is also presented showing the imaging results (DOF, exposure latitude, pattern transfer) of features down to 50nm imaged on Nikon’s EB1A tool.
Electron Projection Lithography
icon_mobile_dropdown
EPL performance in 65-nm node metallization technology and beyond
F. Koba, T. Tsuchida, H. Sakaue, et al.
We evaluate electron projection lithography (EPL) performance for a via layer at 65-nm and 45-nm technology nodes through the fabrication of a via-chain test element group (TEG) using EPL/ArF mix-and-match (M&M) lithography. The via-chain is prepared by tow-layer metallization using a Cu/low-k single damascene process. Here, Metal 1 (M1) and Metal 2 (M2) are patterned by using an ArF scanner, and Via 1 (V1) is patterned by using an EPL exposure system. For the EPL performance evaluation at 65-nm technology node, we utilized transmission electron microscope (TEM) and confirmed that a 100-nm via-chain is successfully fabricated and a yield of 94% is achieved. For an EPL performance evaluation at 45-nm technology node, also by using TEM, we confirmed that fabrication of a 70-nm via-chain with reasonable quality is feasible although with a lower yield. For our next step we are planning to carry out an EPL performance at 32-nm technology node by printing a via layer and a metal layer using a corresponding via-chain TEG. Here, M1, V1 and M2 will be patterned by using the EPL exposure system. Although an EPL development at 32-nm technology node is still at its early stages, a via-hole resist pattern of 50 nm and a lines and spaces (L/S) resist pattern of 45 nm have almost been completed. These results suggest that EPL is quite promising for meeting the back-end-of-line (BEOL) process requirement for 65-nm, 45-nm and also for 32-nm technology nodes.
Preliminary study on systematic optimization of EPL mask infrastructure
Nobuyuki Iriki, Jiro Yamamoto, Hiroshi Arimoto
Electron projection lithography (EPL) has high-resolution capability of meeting the 65 nm technology node and beyond. A first-generation EPL has been developed and improved at Nikon and Selete. Defect free mask is indispensable for successful introduction of this technology into the production stage. However, an EPL mask is considerably different from today's optical photomask, especially due to its 3-D structure. Hence the conventional methods of quality assurance used for optical photomask are not applicable for EPL mask. Selete is now developing a series of defect inspection and repair systems for an EPL stencil mask infrastructure. In our previous work we reported on the individual systems for defect inspection and mask repair by using programmed defects. Moreover, we verified a number of the defect inspection and repair systems through a sequential process. In this work the motivation is to investigate relationship issues among these tools for future applications, such as defect printability, CD controllability, calibration, optimization, performance matching, and automated operation.
Novel Lithography Systems
icon_mobile_dropdown
Resist sensitivity and thickness-based beam count optimization for parallel low energy E-beam exposure systems
Jacques Beauvais, Eric Lavallee, Dominique Drouin, et al.
Proximity effects during electron beam exposure have been kept under control by using sophisticated correction algorithms and software, combined with a strategy which aims at increasing the electron beam energy to 50 keV and 100 keV. At these energies, the proximity effects are more uniform and provide a situation where they are easier to correct. However, as feature sizes shrink, and the pattern density increases, this task becomes extremely complex, since tolerances to pattern definition errors are becoming more restricted. An alternate approach is to move to lower electron energies where proximity effects become negligible. Several programs are underway to develop massively parallel electron beam (MPEB) writer systems that have greatly reduced energy in the ≤5keV regime. Selection of the electron beam energy becomes critical below 10 keV, since the tolerance window where proximity effects are indeed negligible is very small. A shot noise model has been elaborated providing minimum exposure doses required for resists at technology nodes of 45 nm and below. These doses increase rapidly with reducing linewidth and impose a minimum number of electron beams for MPEB systems in order to be able to pattern a surface corresponding to a standard full field 6 inch reticle in a reasonable time, and to directly pattern 300 mm wafers at rates of 5, 50 and 100 wafers per hour. An overall set of results is obtained indicating minimum number of electron beams and electron beam current.
BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithography
Proximity electron lithography (PEL) using the ultra-thin tri-layer resist system has been successfully integrated in our dual-damascene Cu/low-k interconnects technology for the 90-nm node. Critical comparison between conventional ArF lithography and PEL as to the via-chain yield for test element groups (TEGs) including approximately 2.9 million via chains was performed to demonstrate its production feasibility.
Optimal zone plates for x-ray lithography
It is well known that zone plates can print extremely small features in microlithography. However, the size and complexity of zone plates has limited their application. In this paper simulated and experimental results are presented for simple zone plates with very high performance. It has previously been shown that submicron diameter zone plates, with only 2 or 3 zones, can focus 1 nm wavelength X-rays to less than 40 nm FWHM. A zone plate with two zones is a ring, whose ratio of outer radius to inner radius is about 0.7. This implies dimensions that may be too small for easy control. However, simulations have demonstrated excellent focusing for both clear and opaque rings over a ratio of radii of at least 0.6 to 0.8. For high contrast, 1 nm wavelength zone plates are typically fabricated in 200-300 nm thick gold. This leads to high aspect ratios, which are difficult to pattern. However simulations have shown excellent focusing in much thinner gold. In addition, conditions were found in 30nm to 90 nm thick gold which generate narrow dark "foci." The focusing of linear zone plates was also simulated. Linear zone plates with 3 and 5 zones produced excellent line foci, although linear zone plates with 2 and 4 zones were much poorer. Scaled up experiments in visible light supported both the circular and linear simulation results.
Ion beam imprinting system
Ye Chen, Lili Ji, Bret P. van den Akker, et al.
An ion beam imprinting system has been developed at Lawrence Berkeley National Laboratory recently. It is a useful tool to perform micromachining, surface modification, and ion doping etc. The principle of the imprinter is to directly transfer the pattern from the mask to the substrate. It is capable to produce multiple shaped ion beams simultaneously. Therefore it is much more efficient to do micromachining for large volume production than conversional focused ion beam system. Different shaped masks and diverse ion species have been tested both on conductors and insulators. Furthermore, the ion beam imprinter can transfer patterns not only onto a planar target but also onto non-planar surfaces, for example the outer and inner surfaces of cylinders. The imprinting technique can also be applied in three-dimensional micromachining, for example using cylindrical masks to fabricate the medical stents.
EUV Source II
icon_mobile_dropdown
Plasma pinch EUV source with particle injection
Pinch discharge EUV sources tend to produce 13.5nm radiation from an elongated plasma, decreasing the possible collection efficiency for the lowest etendue collector designs. As a means to concentrate EUV emission we have investigated particle injection to locate the radiating species in a small volume at the center of the pinch. We have considered theoretically the rate of ionization and expansion of a small tin droplet in a buffer gas pinch plasma of argon or helium. The particle becomes negatively charged, with consequences for the electron and ion heat fluxes onto its surface. Photo-emission can reduce this negative potential and enhance the electron heat flux. We estimate that the 30 μm diameter tin particle that contains the minimum, or 'mass limited' number of atoms for a 5J EUV-emitting plasma, will fully ionize in less than 100nsec in a typical Star Pinch [1] plasma at the relevant electron density of 2x1019 cm-3 and temperature of 30eV. We report on the generation of high velocity, on-demand, accurately positioned tin droplets suitable for the injection pinch, and on limitations to the system reliability.
Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources
Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, et al.
The EUVL collector mirror reflectivity degradation can be measured as erosion of the mirror surface caused by the high energy ion emissions. Characterizing the ion emission permits the analysis of the mechanisms of erosion and provides the capability to reduce the high energy ion emission which directly reduces the erosion rate. The degradation can also be measured as deposition of particulate debris on the mirror surface. The debris particles have sizes of only a few nanometers. We have demonstrated that the use of electrostatic repeller fields mitigates large fraction of the particle transfer. Our microscopic tin-doped droplet target is a mass-limited target and is designed to limit the flux of uncharged particulate matter emanating from the target, with the eventual objective of only generating charged material. The latter then may be inhibited from degrading EUV optics with the use of electrostatic repeller fields and other mitigation schemes. We present tin-doped droplet target ion emission characteristics in terms of ion energy distribution obtained using our ion spectrometer. Extensive studies on particle generation by controlling plasma conditions and the repeller field effect on individual ion species and particles is also described.
The effect of debris on collector optics, its mitigation and repair: next-step a gaseous Sn EUV DPP source
The critical issue related to advanced fuel plasma EUV sources is collector lifetime. The Illinois Debris-mitigation EUV Applications Laboratory (IDEAL) is continuing research with a dense plasma focus (DPF) light source. The IDEAL DPF electrodes have been redesigned in order to allow for advanced fuel testing, better pinch operation and increased debris generation. The DPF light source operates at negative polarity, 50 Hz, 3 kV and 7.5 Joules of energy per pulse with tetramethyltin [(CH3)4Sn] as an advanced fuel source. EUV output power is measured with filtered photodiodes and results from a gridded energy analyzer still show two primary ion components with a high-energy peak near 6keV. A Faraday-shielded immersed RF antenna provides a 2kW secondary discharge near the DPF for both pre-ionization and mitigation of the debris with a foil trap (>90%). In addition the Surface Cleaning of Optics by Plasma Exposure (SCOPE) facility has been constructed where evaporated and/or ion implanted metals can be deposited on and removed from EUV mirrors. In SCOPE metals were evaporated on to mirror samples held at various temperatures. A metal ion beam was also added to simulate the energetic erosive flux and a helicon plasma was used in situ to study plasma cleaning. Reactive ion etching of tin by chlorine and other gases has shown 500:1 selectivity factors and very high etch rates suitable to refresh an optical mirror surface within a few seconds. Mirror samples were analyzed at the Center for Microanalysis of Material where the diffusion and transport of the metals and surface roughness were studied for lifetime estimation. Lastly, the Xtreme Characterization EUV Experiment Device (XCEED) was used for characterization of the debris has been accomplished by use of an energy sector analyzer in combination with ion time-of-flight. This diagnostic has been designed to measure velocity, mass and charge states of the incoming ions and neutrals, giving discrete debris spectra while in negative polarity operation. Latest results will be presented based on this work.
Properties of EUV and particle generations from laser-irradiated solid- and low-density tin targets
Shinsuke Fujioka, Hiroaki Nishimura, Tomoharu Okuno, et al.
Properties of laser-produced tin (Sn) plasmas were experimentally investigated for application to the Extreme Ultra-Violet (EUV) lithography. Optical thickness of the Sn plasmas affects strongly to EUV energy, efficiency, and spectrum. Opacity structure of uniform Sn plasma was measured with a temporally resolved EUV spectrograph coupled with EUV backlighting technique. Dependence of the EUV conversion efficiency and spectra on Sn target thickness were studied, and the experimental results indicate that control of optical thickness of the Sn plasma is essential to obtain high EUV conversion efficiency and narrow spectrum. The optical thickness is able to be controlled by changing initial density of targets: EUV emission from low-density targets has narrow spectrum peaked at 13.5 nm. The narrowing is attributed to reduction of satellite emission and opacity broadening in the plasma. Furthermore, ion debris emitted from the Sn plasma were measured using a charge collector and a Thomson parabola ion analyzer. Measured ablation thickness of the Sn target is between 30 and 50 nm for the laser intensity of 1.0 x 1011 W/cm2 (1.064 μm of wavelength and 10 ns of pulse duration), and the required minimum thickness for sufficient EUV emission is found to be about 30 nm under the same condition. Thus almost all debris emitted from the 30 nm-thick mass-limited Sn targets are ions, which can be screened out by an electro-magnetic shield. It is found that not only the EUV generation but also ion debris are affected by the Sn target thickness.
Radiation-hydrodynamics, spectral, and atomic physics modeling of laser-produced plasma EUVL light sources
J. J. MacFarlane, C. L. Rettig, P. Wang, et al.
Tin, lithium, and xenon laser-produced plasmas are attractive candidates as light sources for extreme ultraviolet lithography (EUVL). Simulation of the dynamics and spectral properties of plasmas created in EUVL experiments plays a crucial role in analyzing and interpreting experimental measurements, and in optimizing the 13.5 nm radiation from the plasma source. Developing a good understanding of the physical processes in EUVL plasmas is challenging, as it requires accurate modeling for the atomic physics of complex atomic systems, frequency-dependent radiation transport, hydrodynamics, and the ability to simulate emergent spectra and images that can be directly compared with experimental measurements. We have developed a suite of plasma and atomic physics codes to simulate in detail the radiative properties of hot plasmas. HELIOS-CR is a 1-D radiation-magnetohydrodynamics code used to simulate the dynamic evolution of laser-produced and z-pinch plasmas. Multi-frequency radiation transport can be computed using either flux-limited diffusion or multi-angle models. HELIOS-CR also includes the capability to perform in-line non-LTE atomic kinetics calculations at each time step in the simulation. Energy source modeling includes laser energy deposition, radiation from external sources, and current discharges. The results of HELIOS-CR simulations can be post-processed using SPECT3D to generate images and spectra that include instrumental effects, and therefore can be directly compared with experimental measurements. Results from simulations of Sn laser-produced plasmas are presented, along with comparisons with experimental data. We discuss the sensitivity of the 13.5 nm conversion efficiency to laser intensity, wavelength, and pulse width, and show how the thickness of the Sn radiation layer affects the characteristics of the 13.5 nm emission.
Posters: Novel Lithography Systems
icon_mobile_dropdown
Process control of photoresist undercut for lift-off patterns below 100 nm
Chao-Peng Chen, Jei-Wei Chang, Rina Kaji, et al.
Lift-off process is widely used to pattern small-dimension features for microelectronics. To do lift-off process, one needs to have bi-layer photoresist with desired undercut. As the critical dimension (CD) of devices is decreased, the process becomes very difficult to achieve CD and the degree of undercut simultaneously. Conventional TMAH development process alone becomes no longer feasible. Especially, due to the demand to increasingly reduce CD, the image layer’s CD and bottom layer’s undercut becomes too tight to control. In this presentation, we proposed a wet and dry process simultaneously to optimize CD and undercut. This approach is to form a photoresist feature with a larger CD using conventional wet development process. It is followed by dry development to produce the desired small CD and undercut. The critical process parameters in both steps were investigated using NEB22A2 and PMGI photoresists. The present method can also be used to produce nano-monolayer photoresist features.
E-beam direct-write lithography for the 45nm node using the novel STEAG HamaTech single substrate coat-bake-develop ModuTrack
L. Berger, W. Dieckmann, C. Krauss, et al.
The rising demand for processing small charges of ASICs, FPGAs or optolectronic devices at reduced costs promotes the application of e-beam direct-write lithography. This technology requires automated tools which integrate the overall processing sequence: coating, baking, developing, wet etching, stripping and cleaning. These tools should also enable clustering with e-beam writers and dry etchers for seamless processing. The novel integrated STEAG HamaTech ModuTrack track system enables automated processing of a batch of single substrates like wafers or photomasks, while for each substrate different processing methods and sequences may be chosen. The processing modules may also be operated individually. This integrated tool concept is demonstrated referring to the recently installed 8" wafer and 6" photomask ModuTrack at ITRI (Industrial Technology Research Institute of Taiwan), where the process development for wafer e-beam direct-write (EBDW) lithography and photomask processing is ongoing. The processing modules deliver outstanding capabilities, like coating resist with 50nm thickness within a total range of 1% uniformity, and developing 45nm resist dense lines both uniform and repeatable.
Vibration non-sensitive lithographic system for writing individualized holograms for data storage and security applications
Robert C. Thomann, Matthias Gerspach, Steffen Noehte
A vibration non-sensitive lithographic system for writing individual computer-generated micro-holograms into a polymer material for data storage and security application is described. The robust lithograph is the central element of the data storage system consisting of the calculation of a computer-generated micro-hologram (CGH), the writing process and the retrieval of the data stored. The writing process is based on the pattern of a CGH, which projects a data pattern with an optimized signal-to-noise ratio. The hologram, which has the size of one square millimeter, consists of one million dots with a diameter of one micrometer each. In less than one second the laser scanning lithograph transfers the calculated hologram into a polyethelen perephtalate foil (PET) using a high-power single-mode laser diode. A thermal process locally converts the semi-crystalline structure of the foil into an amorphous structure. This leads to a change of the refractive index of more than 0.1 without the need of a post-processing5. Because of the diffractive nature of the introduced optical pattern a relative position accuracy of better than 100 nm has to be accomplished. A high-speed differential optical position detection system guarantees the required position accuracy even in a vibration-afflicted industrial environment. A position deviation of the writing spot caused by vibration is detected by a close correlation between the laser spot in the storage material and a second scanning laser spot on top of a grid mask. The position information is combined with the individual hologram pattern by a fast free-programmable gate-array (FPGA) processor, which again controls the laser diode. The reaction time of 26 ns ensures an interference compensation of up to one MHz. The quality of the reconstructed micro-hologram allows the retrieval of up to one kByte of machine-readable information.
Posters: Advanced Mask Characterization
icon_mobile_dropdown
Modeling of the influence of the defect position on the reflected intensity in EUV mask
In Extreme Ultraviolet Lithography, the electromagnetic modeling of the mask allows to determine the influence of the mask structure on the electromagnetic field. That makes it possible to take into account the presence of a defect modifying the multi-layer stack [1][2]. This paper presents the results of simulations, performed using a modal method, on the aerial image of the reflected intensity above the resist depending on the position of a defect with respect to an absorber pattern. These simulations allow to consider the influence of a defect not only on top of the structure but also everywhere inside the multilayer. The current method is the MMFE: Modal Method by Fourier Expansion. Modal methods are well adapted for EUV simulation mask due to materials and structure size.
Characterization of electrostatically chucked EUVL mask blanks
Rebekah K. Ligman, Emily Y. Shu, Pei-yang Yan
The flatness of electrostatically chucked EUVL reticles was evaluated on two Zerodur bipolar coulombic electrostatic chucks (from Invax Technologies) of different thicknesses, which represent different chuck stiffness, different hardness of the dielectric material used for chuck surface, and different surface flatness finishing. A Zygo GPI interferometer was used to measure the flatness of the chucked reticles, freestanding reticles, and chuck surfaces. The chucked reticle flatness was impacted by the flatness and shape of the front and back sides of the reticle and that of the chuck. Chucked reticle dynamics during chucking and reticle hysterisis were observed. A stable operation range for the e-chucks was found. We also observed backside-particle-induced-out of plane distortion (OPD) on the chucked reticle in the experiments when Cu particles of height 1 to 3μm were placed between the chuck and the reticle backside.
Actinic inspection of multilayer defects on EUV masks
The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.
A dual-mode actinic EUV mask inspection tool
To qualify the performance of non-actinic inspection tools, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory. Similar to the older generation actinic mask inspection tool1, the new system can operate in scanning mode, when mask blanks are scanned for defects using 13.5-nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light. By modifying and optimizing beamline optics (11.3.2 at ALS) and replacing K-B focusing mirrors with a high quality Schwarzschild illuminator, the new system achieves an order of magnitude improvement on in-band EUV flux density at the mask, enabling faster scanning speed and higher sensitivity to smaller defects. Moreover, the system can also operate in imaging mode, when it becomes a zone-plate-based full-field EUV microscope with spatial resolution better than 100 nm. The microscope utilizes an off-axis setup, making it possible to obtain bright field images over a field-of-view of 5x5 um2.
Line width variation with absorber thickness in extreme ultraviolet lithography
Young-Doo Jeon, Min-Ki Choi, Eun-Jin Kim, et al.
Selectivity of extreme ultra-violet lithography mask's material and thickness significantly influences on pattern formation. Since the reflectance changes periodically depending on absorber thickness, we investigated the absorber thickness effect on to near field and aerial image for 32 nm line/space and isolated pattern. We chose germanium and chromium as absorber materials. We also investigated the line width variation by absorber thickness change with different duty ratios. SOLID-EUV of sigma-C was used for this study.
Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysis
Seong-Sue Kim, Roman Chalyck, Sang-Gyun Woo, et al.
Rigorous coupled-wave analysis(RCWA) is applied to computing near-field of mask scattered by patterned absorber and defects buried in Mo/Si multilayer. Especially, a method of modeling phase defect for application of RCWA is provided, which transforms the multilayer structure deformed by defect into straight multilayer structure with inhomogeneous dielectric constant. This mask near-field is used to get the aerial image as well as mask inspection image of confocal microscope. Using these simulation methods, printability of both phase and amplitude defect are investigated over various size of defect. This study shows that the change in critical dimension(CD) of line and space pattern increases linearly with defect height of phase defect, while increases nonlinearly with that of amplitude defect. A modeling of confocal microscopy is also shown with an example of actinic inspection simulation.
Electron Projection Lithography
icon_mobile_dropdown
NGL data conversion system
We are developing a NGL data conversion system for EPL, for LEEPL, and for EBDW, which is based on our established photomask data conversion system, PATACON PC-cluster. For EPL data conversion, it has SF division, Complementary division, Stitching, Proximity effect correction, Alignment mark insertion, EB stepper control data creation, and Mask inspection data creation. For LEEPL data conversion, it has Pattern checking, Complementary division, Stitching, Stress distortion correction, Alignment mark insertion, and Mask inspection data creation. For EB direct-writing data conversion, it has Proximity effect correction and Extraction of aperture pattern for cell projection exposure.
Posters: EUV Systems
icon_mobile_dropdown
Applications of an electron-based EUV source: table-top grazing incidence reflectometer and imaging with a Schwarzschild objective
Two applications of an electron-based EUV-tube are presented: the set up of a grazing incidence EUV reflectometer with high reproducibility and accuracy, and our works towards the realization and application of a Schwarzschild objective for EUV imaging. Both applications benefit form the use of the table-top EUV-source, which is debris-free, long-term stable, and compact.
Influence of asymmetry of diffracted light on printability in EUV lithography
In EUV lithography, off-axis incident light on a mask can produce a printed image that is asymmetrical with respect to its center, even when a line and space pattern is perfectly symmetrical on the mask. A theoretical analysis of diffracted light shows that the asymmetry tends to occur, when diffracted rays of the 2nd order or higher pass through the pupil and are involved in creating the image on a wafer. In contrast, when only 0th- and 1st-order diffracted rays pass through the pupil, the printed image is always symmetrical regardless of any asymmetry in their amplitude and/or phase. In order to discover the causes of the asymmetry in aerial images, we used line-and-space line patterns with a pitch of 88 nm on a wafer, because this pattern pitch generates 0th-, 1st- and 2nd-order rays for the optical conditions of an NA of 0.25 and a σ of 0.80. Under these conditions, a thicker Ta absorber (107 nm) produces greater asymmetry than a thinner one (64 nm), especially when the target linewidth is around 44 nm (on wafer). An analysis of diffracted light and the combinations of diffraction orders involved in creating an aerial image reveals that asymmetry in a printed image is caused by 2nd-order diffracted rays.
Evaluation of pattern fidelity in EUVL using a high-numerical-aperture small-field EUV exposure tool (HiNA)
Since extreme-ultraviolet lithography (EUVL) uses a much shorter wavelength than optical lithography, it should provide better pattern fidelity. In this study, various patterns were printed with a high-numerical-aperture (NA=0.3) small-field EUV exposure tool (HiNA) with new set-3 projection optics, and their fidelity to the mask patterns was evaluated. The set-3 optics have one-half the wavefront error and one-quarter the flare of the set-2 optics, and should thus provide much better image contrast. For EUVL mask fabrication, we used mask blanks with a TaGeN/Cr absorber stack and existing process tools for photomasks, which enable the fabrication of very accurate mask patterns. In the printing experiments, 80-nm line-and-space (L/S) and isolated-line patterns were successfully fabricated simultaneously without any optical proximity correction (OPC) of the mask pattern. For 60-70-nm line patterns, the printed patterns were still of good quality, but were slightly deformed. We speculate that this was caused by the low contrast of the aerial image, mainly due to wavefront error and flare. For 60-80-nm contact-hole (C/H) patterns, both dense and isolated holes were successfully fabricated without OPC or phase-shift mask (PSM). However, the printed patterns were almost circular, even though the mask patterns were square. This might be due to deformation of the aerial image and deformation produced by the resist process. For better pattern fidelity, it will probably be necessary to improve the characteristics of both the projection optics and the resist.
Resist-based measurement of the contrast transfer function in a 0.3-NA EUV microfield optic
Jason P. Cain, Patrick Naulleau, Costas J. Spanos
Although extreme ultraviolet (EUV) lithography offers the possibility of very high-resolution patterning, the projection optics must be of extremely high quality in order to meet this potential. One key metric of the projection optic quality is the contrast transfer function (CTF), which is a measure of the aerial image contrast as a function of pitch. A static microfield exposure tool based on the 0.3-NA MET optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. This tool provides a platform for a wide variety of research into EUV lithography. In this work we present resist-based measurements of the contrast transfer function for the MET optic. These measurements are based upon line/space patterns printed in several different EUV photoresists. The experimental results are compared with the CTF in aerial-image simulations using the aberrations measured in the projection optic using interferometry. In addition, the CTF measurements are conducted for both bright-field and dark-field mask patterns. Finally, the orientation dependence of the CTF is measured in order to evaluate the effect of non-rotationally symmetric lens aberrations. These measurements provide valuable information in interpreting the results of other experiments performed using the MET and similar systems.
Posters: EUV Sources
icon_mobile_dropdown
Status of EUV reflectometry at PTB
Frank Scholze, Christian Laubis, Christian Buchholz, et al.
The development of EUV lithography is critically based on the availability of suitable metrological equipment. To meet the industry's requirements, the Physikalisch-Technische Bundesanstalt (PTB) operates an EUV reflectometry facility at the electron storage ring BESSY II. It is designed for at-wavelength metrology of full-sized EUVL optics with a maximum weight of 50 kg and a diameter of up to 550 mm. A micro-reflectometry station was installed for reflectometry with high spatial resolution for, e.g., structured masks. A photon beam size of 10 μm FWHM has presently been achieved. To meet the increasing demands of metrology for future lithography production tools, the measurement uncertainty was permanently reduced. For peak reflectance, a total uncertainty of 0.10 % is achieved with a reproducibility of 0.05 %. The uncertainty of 2 pm in the center wavelength is given mainly by the uncertainty for the reference wavelength of the Kr 3d5/2-5p resonance. A long-term reproducibility of 0.8 pm has been demonstrated over a period of about 4 years. We have recently demonstrated repeatability below 0.06 pm. This good repeatability is important for the determination of the coating-thickness gradient in alpha-tool optics. We present a long-term series of measurements at a set of EUV mirrors and discuss our recent results in improving wavelength reproducibility.
Laser and optical developments of a modular laser-plasma source for EUV lithography
G. Cheymol, Ph. Cormont, D. Farcage, et al.
The laser system is by far the most expensive part of a laser-produced plasma (LPP) EUV source. Thus LPP source designers have not only to optimize the technical performances of the lasers but also cost, efficiency, reliability, redundancy and industrial availability of their approach. Based on the typical conversion efficiencies of present LPP source targets (CE ~ 1- 3%), the laser system will have to deliver an average power of at least 20 kW. This can hardly be obtained with only few laser chains. Starting from this consideration, the EXULITE consortium has first proposed the concept of a spatially multiplexed and modular LPP source using 10 to 20 identical, moderate power laser units which are simultaneously focused on the same spot. This approach increases system redundancy and reduces the development cost of the laser. We will present an original technical approach which allows both to manage the multi-beam attack of the target using a closed-loop control and to collect a maximum of EUV power. The grazing incidence EUV collector is designed such that optimized vacuum pumping and debris mitigation can be obtained. Finally, we can show that modular LPP sources can satisfy the severe EUV dose stability that is commonly requested.
Radiation transport modeling for Xe and Sn-doped droplet laser-plasma sources
Moza Al-Rabban, Christian Keyser, Simi George, et al.
Detailed understanding of the complex UTA emission from Xe and Sn laser plasmas is imperative to the development of efficient 13.5 nm sources for EUVL. We are developing a comprehensive theoretical modeling approach to these sources, utilizing state-of-the-art hydrodynamic and radiation transport plasma codes. These models are specifically applied to Xe and Sn-doped microscopic droplet targets laser-plasmas irradiated with nanosecond laser pulses. The plasma expansion models are compared to experimental determinations of the plasma electron density distributions. The output of the radiation transport code is used to interpret details of the spectral emission measured from these plasmas over a broad range of parameters.
EUV spectroscopy of mass-limited Sn-doped laser micro-plasmas
The 13 nm emission that results from laser plasmas created from tin targets, results from a milliard of transitions occurring in many ions of tin (Sn6+-Sn13+). Understanding the energy manifolds within these multiple states will further our ability to manipulate energy into the narrow emission band demanded by EUV Lithography. A combined experimental theoretical program is underway to measure and interpret the detailed EUV emission spectra from laser plasmas suitable for EUVL, particularly mass-limited droplet laser plasmas. We employ high resolution spectroscopy in the 2 - 60 nm wavelength regions to characterize the emission from the plasma. This is interpreted with the aid of combined hydrodynamic/ radiation transport computer models. The results of this study will have impact on the in-band EUV conversion efficiency, estimation of the out-of-band short-wavelength emission, and in the development of electron temperature plasma diagnostics.
Estimations on high energy ions and neutral particles from LPP EUV light sources
H. Furukawa, T. Kawamura, T. Nishikawa, et al.
We have developed an integrated Laser Ablation Fluid Radiation simulation Code (LAFRAC) to estimate the behaviors of highly energetic ions and neutral particles from LPP EUV light sources, and estimated recombination and charge transfer processes between the particles from laser-produced Xe EUV light sources. We clarify that charge transfer effects greatly affect on the number density of neutral particles, especially high energy (more than roughly 500 eV) neutral particles.
Dynamics of a laser produced plasma for soft x-ray production
Joshua B. Spencer, Darren A. Alman, David N. Ruzic, et al.
Laser produced plasmas (LPP) can be used as a source of a wide variety of useful radiation in the Soft X-ray (SXR) and Extreme Ultraviolet (EUV) spectral regime. The specific spectral range emitted depends largely on the target material. Copper, the first material studied by this group, strongly emits X-rays in the 1.0 to 1.1 nm wavelength range. These X-rays have long been used in the biological sciences. Carbon and nitrogen rich targets emit in the 2.3-4.4nm range the so called "Soft X-ray Water Window," which is extremely useful in microscopy. Tin has been shown to emit with the greatest efficiency in EUV the range around 13.5 nm which is of great industrial interest for producing semiconductors in next generation lithography machines. Here we study the processes that are required to make a LPP that will emit radiation in these spectroscopic ranges. Presentation of a model will be made that looks at the formation of plasma by looking at the physics of the laser interaction with the target, conversion efficiency, debris generation, and the processes involved in producing SXR and EUV radiation.
Ultrashort electron-based EUV and hard x-ray source
Novel ultrashort EUV and hard x-ray sources have been developed and characterized. Radiation pulses were produced by combining femtosecond laser technology with a specially designed EUV and x-ray diode. At first, ultrashort electron pulses are generated by photoemission from a photocathode. Then, these electron pulses are accelerated over a short distance towards an high-Z anode. EUV radiation is produced with a silicon anode via L-shell emission, hard-x-rays are generated with a copper anode. Measurements of the pulse duration were performed for hard-x-rays using an advanced streak camera. For high electron pulse charges (several pC), hard-x-ray pulse durations of less than 10 ps were observed. In this contribution we present the concept of our EUV and hard x-ray source. A wide range of experimental parameters is investigated: Different cathode and anode materials were tested, femtosecond laser systems with different parameters are used, the dependence of the radiation pulse length on experimental parameters e.g. laser energy and acceleration voltage is studied.
Evaluation of tin-foil targets for debris mitigation in laser generated EUV source
Michiteru Yamaura, Shigeaki Uchida, Susumu Takemoto, et al.
It is very effective for mass-limited tin-foil targets to adapt for the EUV source. Tin-foil targets in account of formation, size, and thickness have been developed for debris mitigation. The amount of ions from targets is 40 % decreased tin-foil targets of 1μm or 5μm thickness than tin-bulk targets. The ion velocity is one order of magnitude less than bulk targets. The EUV emission spectra of tin-foil are more narrowing than bulk targets. The targets supply for high repetition rate of 10 kHz is applied for a novel method. It is called "Punch-out" method. The flight of graphite foil that it is a test targets was succeed to observe by using a gated ICCD camera. The target velocity is achieved to be about 120 m/s. This value can be applied for targets supply with high repetition rate of 10 kHz.
Laser-produced-plasma light source for EUV lithography
The status of the next generation lithography laser produced plasma light source development at EUVA is presented. The light source is based on a Xenon jet target and a Nd:YAG driver laser. The laser, having a master oscillator power amplifier (MOPA) configuration, operates at 10 kHz repetition rate and generates an average output power of 1.5 kW. The fwhm pulsewidth is 6 ns. The EUV system currently delivers an average EUV source power of 9.1 W (2% bandwidth, 2π sr) with a conversion efficiency of 0.6 %. Based on the development it is concluded that solid-state Nd:YAG laser technology can be cost efficiently used to produce 10 W level EUV light sources. In order to generate an average power of 115 W for a future extreme ultraviolet (EUV) light source, however, the cost of a Nd:YAG based LPP source will be too high. Therefore RF-CO2 laser technology will be used. The designed CO2 driver laser system has a MOPA configuration. The oscillator has ns-order pulsewidth and the laser system operates at a repetition rate of 100 kHz. Due to its inert cleanliness Xenon droplets will be the target material.
Development status of gas discharge produced plasma Z-pinch EUV sources for use in beta-tools and high volume chip manufacturing tools
U. Stamm, J. Kleinschmidt, K. Gabel, et al.
In the paper we report about the progress made at XTREME technologies in the development of EUV sources based on gas discharge produced plasma (GDPP) technologies as well as the integration of collector optics. Optics from different suppliers were integrated to the source and the performance of the radiation in the intermediate focus and in the far field behind the intermediate focus were determined using newly developed metrology tools. To improve the source performance in the development program for beta exposure tools and high volume manufacturing exposure tools both tin and xenon have been investigated as fuel for the EUV sources. Development progress in porous metal cooling technology as well as pulsed power circuit design has led to GDPP sources with xenon fuel continuously operating with an output power of 200 W in 2π sr at 4500 Hz repetition rate. With tin fuel an output power of 400 W in 2π sr was obtained leaving all other conditions unaltered with respect to the xenon based source. The performance of the xenon fueled sources is sufficiently good to fulfill all requirements up to the beta tool level. The required power of 10-20 W in the intermediate focus region at etendue between 3 and 5 mm2sr was demonstrated by using a xenon based source. The status of the integration of the sources with grazing incidence collector optics is discussed in detail. Images using visible light or EUV light in the intermediate focus region or in the far field behind the intermediate focus are presented for the first time. EUV pulse to pulse energy and stability has been measured out of the source and in the intermediate focus. Data on debris reduction show that lifetime expectations for beta-tools will be met.
Development of laser deposition package for modeling of EUV sources for microlithography
Volodymyr Makhin, Bruno S. Bauer, Irvin R. Lindemuth, et al.
A laser energy deposition model has been incorporated into the MHRDR-EUVL magnetohydrodynamic (MHD) model of EUV sources for microlithography. The model includes inverse-bremsstrahlung absorption, resonance absorption, and reflection of laser radiation from the plasma critical surface. The plasma evolution is simulated in parallel with the MHRDR-EUVL (Magneto-Hydro-Radiative-Dynamic-Research) 2D, three-temperature, MHD computer code. Convenient user-options include simple specification of the full width at half maximum (FWHM) of typical laser profiles, such as Gaussian profiles in space and time. The new laser deposition capability will allow MHRDR-EUVL to calculate the evolution of magnetized laser-produced plasmas. Magnetic fields can reduce the loss of plasma energy caused by plasma expansion and thermal conductivity.
Secondary RF plasma system for mitigation of EUV source debris and advanced fuels
Michael A. Jaworski, Michael J. Williams, Erik L. Antonsen, et al.
A 52 MHz RF system has been installed and tested on the IDEAL chamber. The secondary plasma source will be used in conjunction with other methods to mitigate debris from an EUV source. The plasmas are produced by a quarter-wavelength helical resonator antenna contained within a faraday shield. Argon and helium gases have both been tested in the system and have been shown to produce plasmas with densities as high as 8 × 1011 cm-3 with input powers up to 800 W. Input power to the system is limited by internal geometry and connections to the antenna housing.
Magnetic field ion mitigation for EUV light sources
Hiroshi Komori, Yousuke Imai, Georg Soumagne, et al.
Fast ions from laser-produced EUV plasma are expected to significantly damage the collector mirror, which is located near the plasma in a EUV light source. Ion sputtering of the multilayer structure may be the main damage mechanism but layer boundary mixing and surface roughness increase are also observed from a Xe plasma exposure experiment. The magnetic field ion mitigation technology was evaluated in order to extend the collector mirror lifetime. A coil pair that produces a maximum static magnetic field of 0.6 T on the coil axis was used for magnetic confinement of ions. Liquid Xe jets of 10 to 30 micron mater in diameter were used as a plasma target. Spatial distributions and energy distributions of ions were measured with Faraday cups and time-of-flight measurements respectively. The effectiveness of the magnetic field ion mitigation was evaluated by measuring the erosion rate with a quartz crystal microbalance. A significant decrease of the Faraday cup signal was monitored by applying a magnetic field of 0.6 T. Though target size dependence on magnetic field effectiveness was observed, measured erosion rate was reduced to less than 10% by applying 0.6-T magnetic field in the case of 10-micron mater Xe jet and 300-mJ Nd:YAG laser irradiation.
Target fabrication of low-density and nanoporous tin oxide as laser targets to generate extreme ultraviolet
Keiji Nagai, Q-c. Gu, T. Norimatsu, et al.
Our institute has been investigating laser-produced tin plasma and EUV emission, and found the highest conversion efficiency of 3% at 13.5 nm in 2% bandwidth. In the present paper, we introduce fabrication methods of density-controlled tin targets to generate relatively monochromatic EUV with keeping similar conversion efficiency. The first method is the nano-template method, where liquid tin solution was immersed into a polymer film with monodispersed size nanoparticle. The density can be controlled by tin concentration of the solution. The target can be classified into mass-limited target. We have shown a tendency of monochromatic EUV emission around 13.5 nm with decreasing of tin density. The intensity was higher than tin foil with bulk density. The tendency has a merit to mitigate heat effect of the first EUV mirror. The fabrication method has another merit to control not only density but also the poresize of tin oxide to be 100 nm ~ 10 mm. Recent experiments exhibited an EUV character depending on the poresize. The second is liquid crystalline template method to obtain porous tin oxide. The precursor with tin oxide and cellulose provides mechanically stable and transparent film. The film has wavy sub-microstructure derived from microscopic liquid crystal domain structure. The method is simple and short duration for the hydrolysis reaction to solidify tin compound. This material has a merit of feasibility of fabrication, and was applied for rotation target for 10 Hz and 5 kHz laser repetition.
Development in gas-discharge drive lasers for LPP EUV sources
V. B. Fleurov, P. C. Oh, T. D. Steiger, et al.
Development of a drive laser with sufficient output power, high beam quality, and economical cost of consumables is critical to the successful implementation of a laser-produced plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system using two gas-discharge power amplifiers and repetition rates exceeding 10 kHz to produce more than 2kW output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet high volume manufacturing requirements
Liquid metal micro-droplet generator for laser produced plasma target delivery used in an extreme ultra-violet source
J. Martin Algots, Oscar Hemberg, Alexander Bykanov
The implementation of a Laser Produced Plasma Extreme Ultra-Violet (LPP EUV) source requires a high-power laser focused onto a target. In order to minimize the required laser input power the target material must have a high conversion efficiency to 13.5 nanometer radiation. Ideally, a pulsed laser is used and the target should be delivered in small uniform volumes to a point in space at high repetition rates. The small volumes minimize the amount of debris, the high repetition rates enable higher power systems and the free space delivery alleviates thermal issues and allows large collection angles. It has been demonstrated that lithium and tin have very high conversion efficiencies and system solutions exist to use these materials. In this paper we describe the requirements and performance of a liquid metal micro-droplet target generator capable of dispensing both lithium and tin. Finally it is shown that the current generator performance is sufficient to support stable source operation.
LPP EUV conversion efficiency optimization
J. R. Hoffman, A. N. Bykanov, O. V. Khodykin, et al.
Efficient conversion of laser light into EUV radiation is one of the most important problems of the laser-produced plasma (LPP) EUV source. Too low a conversion efficiency (CE) increases the amount of power the drive laser will have to deliver, which, besides the obvious laser cost increase, also increases the thermal load on all the components and can lead to increased debris generation. In order to meet the requirements for a high-volume manufacturing (HVM) tool and at the same time keep the laser power requirements within acceptable limits, a CE exceeding 2.5% is likely to be required. We present our results on optimizing conversion efficiency of LPP EUV generation. The optimization parameters include laser wavelength, target material, and laser pulse shape, energy and intensity. The final choice between parameter sets that leads to the required minimum CE is dependent on the debris mitigation solutions and the laser source available for a particular parameter set.
Model of neutralization of highly ionized Xe generated by laser-produced plasma
Condenser erosion caused by high-energy ions is a current issue in EUV sources. Measurements of the charge and energy distributions of Xe ions by EUVA revealed that energetic, kiloelectronvolt-order ions were formed in Xe-laser-produced plasma (LPP). The charge distribution shows a peak for doubly charged ions, and the number of ions with n charges monotonically decreases as n increases. Since highly charged ions around Xe10+ are probably formed in optimized EUV plasma, the observed charge distribution strongly suggests that charge transfer reactions occur in the LPP chamber. If that is true, the reactions will produce high-energy neutrals. In this study, the rate equations for charge transfer reactions were solved numerically; and the change in charge state distribution over time was calculated. The initial charge distribution was assumed to have a peak for Xe10+, based on the plasma model. The dependence of charge transfer cross section was taken to be nb, where n is the number of charges and b was set near unity, based on the model. The calculated charge distribution agreed well with the experimental data. The calculations predict that charge transfer reactions produce fast neutrals, the number of which is of the same order or larger than that of charged ions.
Protection of collector optics in an LPP based EUV source
In a laser produced plasma (LPP) EUV source the multilayer mirror (MLM) collector optic will be exposed to a flux of energetic ions and neutral atoms ejected from the plasma as well as condensable vapor from excess target material. We are investigating various techniques for reducing the contamination flux and for in-situ removal of the contamination. The protection strategies under investigation must be compatible with gaseous and condensable target materials such as Xe, Sn, In, Li, and other elements. The goal is to develop MLM structures that can withstand elevated temperatures and develop protective barrier coatings that reduce erosion of the mirror surface. Results of MLM exposure to energetic ion beams and thermal atomic sources are presented. Changes in EUV reflectivity of MLM structures after exposure to ions and deposition of target material have been performed on samples cleaned by these developmental processes. In this paper, we will summarize our initial results in these areas and present techniques for mitigation of MLM damage from the source.
13.5 nm emission from composite targets containing tin
Patrick Hayden, Anthony Cummings, Lynn Gaynor, et al.
The aim of this study is to investigate ways to maximise the efficiency of tin based laser produced plasmas as sources of EUV radiation in the 2% band centered on 13.5 nm. It has been found that targets containing below 15% tin atoms by number emit more brightly in the spectral region around 13.5 nm than pure tin targets. Furthermore, if the remaining material in the target is composed on primarily low-Z atoms, then both plasma continuum radiation and Bremsstrahlung radiation are greatly reduced. In addition, if the target is illuminated with a prepulse, the conversion efficiency shows a distinct increase. The third parameter under examination is the laser power density, which controls the ion distribution in the plasma. The influence of low-Z atoms on the tin ion distribution in the plasma has been investigated and found to be of little consequence. Measurements were made in the region from 9-17 nm on an absolutely calibrated 0.25-m flat field grazing incidence spectrograph, and on two 2-m grazing incidence spectrographs. Spectra and conversion efficiency data from a range of target materials and illumination regimes are presented.
High-power and high-repetition-rate EUV source based on Xe discharge-produced plasma
Yusuke Teramoto, Hiroto Sato, Kazunori Bessho, et al.
Discharge-produced plasma (DPP) based EUV source have been studied and developed at EUVA/Gotenba Branch. Among the several kinds of discharge scheme, a capillary Z-pinch has been employed in our source. An all-solid-state magnetic pulse compression (MPC) generator was used to create a Z-pinch plasma. Low inductance MPC generator provides a pulsed current with about 52 kA of peak amplitude and 120 ns of pulse duration, and allows 7-kHz operation. A water-cooled discharge head was coupled with the MPC generator. In order to evaluate the source performance, electrical energy input to the discharge, EUV radiation power, radiation spatial profile, plasma image and spectra were observed. In-band EUV power into usable solid angle obtained at 7 kHz was 93 W/2%BW. By using nested grazing-incidence collector, EUV power at intermediate focus obtained was 19 W/2%BW.
Modeling of the atomic processes in the laser-plasma EUV sources
A. Sasaki, K. Nishihara, F. Koike, et al.
We develop an atomic model for the Xe and Sn plasmas based on the calculated atomic data for the theoretical investigatiion of the laser plasma EUV source. The wavelength and intensity of the emission lines of Xe8-16+ and Sn4-12+ are investigated, and the dominant charge state and emission channels for the radiation at 13.5 nm are identified. The emissivity and opacity at the collisional radiative equilibrium (CRE) are calculated, and their spectral properties are investigated with respect to the accuracy of the wavelength of major emission lines and the effect of satellite lines.
Posters: Nanoimprint
icon_mobile_dropdown
Surface engineering for resolution enhancement in nanoimprint lithography
G. Y. Jung, W. Wu, Z. Li, et al.
Nanoimprinting lithography was initiated as an alternative way to achieve nanoscale structures with high throughput and low cost. We have developed a UV-nanoimprint process to fabricate 34x34 crossbar circuits with a half-pitch of 50 nm (equivalent to a bit density of 10 Gbit/cm2). Our resist was of a single layer, which required fewer processing steps than any bi-layer process, but yielded high quality results. By engineering the surface energy of the substrate, we also eliminated the problem of trapped air during contact with the mold due to non-conformal contact such that it spreads the resist and expels trapped air. Resist adhesion to the gaps between features in the mold during mold separation is a challenge that becomes more severe as the pitch size shrinks. We have improved the resist adhesion to the substrate by applying a monolayer of surface linker molecule on the substrate surface. The surface linker bonded the resist to the substrate surface chemically and produced fine imprinted patterns at 30 nm hp.
Alignment issues in a modular hot embossing system
M. Wissen, T. Glinsner, N. Bogdanski, et al.
The idea of subdividing the conventional hot embossing process into subsequent process steps is introduced as a modular hot embossing concept. Based on the EVG 520HE the process is separated into modules so that typical temperature cycle times can be circumvented because the imprint is carried out as an isothermal embossing process where the applied pressure is released at a temperature above Tg. Before detachment of stamp and imprinted substrate cooling is applied in a separate module. The full benefit of such a modular concept is achieved when every module is equipped with its own embossing tool and therefore with its own template. We have investigated a new way of preparing working-stamps, which are cost-efficient namely the polymeric replications of Si templates. We have chosen mr-L 6000, an UV curable imprint resist as a working-stamp material. It was possible to obtain a complete cross-link without PEB, which is beneficial with respect to pattern fidelity conservation. Furthermore XPS measurements have shown that a silanization of the cured resist is possible to serve as an anti-adhesion layer. IR alignment as a part of the modular concept and its issues have been discussed on the basis of the EVG450 bond aligner by using Si templates and Si substrates. It is shown that an embossing tool enabling alignment may affect pattern transfer.
S-FIL technology: cost of ownership case study
The escalating costs of lithography for the sub 90nm regime have been well documented. The semiconductor industry is exploring evolutionary improvements to existing photolithographic techniques as well as disruptive, but cost effective patterning technologies for the demanding high-resolution requirements. Step and Flash Imprint Lithography (S-FIL) is an innovative patterning technology commercialized by Molecular Imprints. S-FIL has demonstrated the capability to pattern very high-resolution features and has been recognized as an NGL candidate by inclusion on the ITRS Roadmap in December 2003. This paper describes the S-FIL process and examines its comparative cost of ownership relative to conventional photolithography at the 90nm node and to immersion photolithography at the 65nm node for patterning contacts and dual damascene.
Development of thermosets for thermal nanoimprint lithography at decreased temperatures
Freimut Reuther, Mike Kubenz, Christine Schuster, et al.
Prepolymers formed from multifunctional allyl monomers can beneficially used in nanoimprint lithography (NIL), since they cure as a consequence of heating during the imprint process. Thus they have the potential to enable NIL at comparatively low temperatures while the imprinted patterns concurrently show high thermal stability, in contrast to thermoplastic polymers, where the thermal behaviour of the imprinted patterns is closely related to the glass transition temperature (Tg) of the polymers. The use of allyl prepolymers for NIL was previously described, but only very few experimental data are known. In recent investigations on the application of allyl prepolymers for NIL a displacement of the patterns on the wafer has been observed after cooling down the imprinted polymer in the press. This could be avoided by detaching the stamp at the imprint temperature, i.e. without cooling down the press, which requires the polymer to be crosslinked to a great extent in this stage. Since high temperatures are necessary (150 °C - 190 °C), and the imprint time is still long, allyl prepolymers to be reported here have been modified aiming at a reduction of imprint temperature and time. The admixture of free-radical initiators increases the polymerization rate and allows the polymerization to start at lower temperatures. A reduced imprint temperature (100 °C) and shorter imprint time (10 min) are achieved. Additional polymer modification by plasticizers improves the material flow during the imprint due to a lower Tg. Recipes for polymer modifications have been found out, which result in thermally stable imprints under the specified processing conditions.
Indium tin oxide template development for step and flash imprint lithography
Step and Flash Imprint Lithography (S-FIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Previous work on S-FIL templates has focused on a chromium and quartz pattern transfer process that is compatible with processes that are currently used in mask shops. It is likely that 1X templates will require electron beam inspection, however, and templates that include buried charge conduction layers may be required. The purpose of this work was to investigate the issues associated with fabricating and inspecting these types of templates. The patterning stack examined included a layer of ZEP520A positive electron beam resist, followed by thin layers of chromium, silicon oxynitride, and indium tin oxide. The chromium layer was needed to avoid laser height sensor problems encountered prior to electron beam exposure. The pattern transfer process was characterized, and CD uniformity was characterized in four quadrants of the photoplate. A prototype electron beam inspection system was then used to inspect an array of programmed defect patterns. Two methods for fabricating templates were considered.
Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography process
Mike Miller, Gary Doyle, Nick Stacey, et al.
The Step and Flash Imprint Lithography (S-FILTM) process is a step and repeat nano-imprint lithography (NIL) technique based on UV curable low viscosity liquids. Generally nano-imprint lithography (NIL) is a negative acting process which makes an exact replica of the imprint mold and is subsequently dry developed to reveal the underlying substrate material. The authors have demonstrated a novel imprint process, which reverses the tone of the imprint and enables dry develop on nonflat wafers with good critical dimension control and resist layer thickness. This positive acting NIL process termed SFIL/RTM (reverse tone S-FIL), enables nano-imprinting over intrinsic substrate topology of the type commonly found on single side polished substrates. This paper describes the SFIL/R process and the results of pattern transfer on single side polished silicon wafers.
Posters: Maskless Lithography
icon_mobile_dropdown
Maskless origination of microstructures with optical functions on large areas
Interference lithography is a manufacturing technique which allows the origination of various types of microstructures on large areas. Micro-structured surfaces with optical functions are useful for radiation power management applications as well as light management applications in displays and in solar energy systems. This paper presents the interference lithography process, different types of possible structures, replication technologies, some applications and new approaches with high aspect ratio photoresists. Both conventional positive-tone diazonaphthoquinone (DNQ)-photoresists like AZ9260 and chemically amplified negative-tone photoresists like NANOTM SU-8 have been investigated. For the structure origination a large scale interferometer setup with an argon ion laser is used. To this end the laser beam is divided into two beams in a first step. Then the two beams are directed by mirrors, expanded and finally superposed. The exposure intensity profile resulting of two superposed coherent light waves is sinusoidal. Therefore in general continuous microstructure profiles will result after development. In this paper thick film photoresists and their usability for interference lithography are demonstrated. Therefore one-dimensional microstructures as well as prismatic microstructures for daylighting applications have been fabricated in AZ9260. Two-dimensional photoresist structures in NANOTM SU-8 for display applications are also introduced. With these new approaches, microstructures with high aspect ratios and structure depths up to 100μm and more have been realised due to the low UV-absorptance of such photoresists. Additional replication processes such as electroforming and soft-embossing as well as replicas in polymers are presented. Thus a complete process chain for a cost-effective fabrication of micro-structured optical components is given.
Study of EB-tree
Direct write electron-beam (e-beam) lithography, which has the maskless patterning capability and the quick turnaround for new device designs and design changes, has been applied to making the engineering samples for the development of the System on Chip products (SoC). Using the e-beam lithography to the multilevel interconnect metal was known to be evaluate in view of cost and throughput. In the case of the high-energy e-beam lithography, however, the backscattered electron from the metal caused a significant proximity effect. Authors evaluated the e-beam proximity effect using the accelerating voltage 50keV on some multi-level interconnect metal structures which consist in tungsten wiring, or Cu wiring. It was found that the backscattering range and the ratio of the backscattering energy to the incident energy depend on the thickness of metal, but also on the distance from the resist to the metal. Therefore authors propose a new method of evaluating e-beam lithography property, concept of "EB-tree". That indicates the wafer backscatter property that has heavy metal wiring using e-beam lithography. EB-tree shows the relations of wafer backscatter range and heavy metal thickness, ratio of the backscattering energy and heavy metal thickness. EB-tree could show wafer property cause of lower levels layout, understructure metal wiring, that must be taken into account when e-beam lithography.
Design and fabrication of tilting and piston micromirrors for maskless lithography
Yijian Chen, Chi Hui Chu, Yashesh Shroff, et al.
In this paper we present the design and fabrication results of tilting and piston micromirrors for their potential applications in DUV and EUV maskless lithography. The dynamic characteristics such as stability, damping, and the settling time of various types of electro-mechanically coupled micromirrors are investigated using the perturbation method, linear control theory, and numerical simulation. Non-dimensional control parameters are identified and transient optimization is carried out to minimize the systems’ settling time. It is found that vertical double-comb tilting micromirrors and clamped double-flexure piston micromirrors have superior stability. The mirror hinge is proposed to function as a built-in resistor to introduce optimal electrical damping for EUV micromirrors operating in vacuum. We have developed a low-temperature (<420°C) IC compatible SiGe process, in which SiGe can be doped at different levels without annealing to function as a structural (conductive) and damping (resistive) material. Self-aligned processes using "spacer nanolithography" to define ultra-thin nano-scale actuation gaps for low-voltage operation have been developed to fabricate both tilting and piston micromirrors. We have successfully constructed double-comb tilting micromirrors with 300-nm fingers and 40-nm finger gaps, and double-flexure piston micromirrors with 80-nm thick flexures and 80-nm actuation gaps. The mirror sizes are in the range of 10 to 0.5 mm.
Optical rasterization algorithms for contrast devices utilizing different physical modulation principles in optical maskless lithography
Azat Latypov, Ronald Albright, Nabila BabaAli, et al.
In Optical Maskless Lithography (OML), the die pattern to be printed is generated by a contrast device known as Spatial Light Modulator (SLM), consisting of a multitude of pixels. Each pixel is independently actuated so as to change its optical properties. Different physical principles can be used to modulate the light. For instance, liquid crystal pixels can be used to vary the amplitude transmittance of a pixel, or mirrors actuated by tilting or pistoning can be used to vary the amount of light from each pixel reaching the image plane. Optical rasterization is an algorithm that, given the description of the pattern to be printed (e.g. a GDSII mask file), computes the states (e.g. pixel transmittance or pixel micro-mirror tilt / piston) of the contrast device pixels that will reproduce the pattern at an optical image plane. The purpose of this paper is to present the Global Optimization (GO) rasterization algorithm based on matching the pupil field generated by the given mask, taking into account the constraints dictated by the modulation principle of the contrast device. In particular, we discuss a relation of GO algorithm and a grid filter approach to rasterization in Maskless Lithography. Also, a global optimization algorithm allowing the minimization of light loss is formulated and discussed. We present simulated results of lithographic patterns at the 65 nm node imaged using both tilt mirror and piston mirror contrast devices. In contrast with the previously reported work, we demonstrate that for a particular case of an SLM with piston mirror pixels, the presented GO rasterization algorithm results in aerial images that do not exhibit placement drift with defocus. The variations in the rasterization procedure needed to account for contrast devices with different physical modulation principles are discussed.
Maskless micromachining with high-energy focused ion beams
B. Rout, R. D. Greco, A. D. Dymnikov, et al.
High Energy Focused Ion Beam (HEFIB) direct writing is proving to be an attractive and powerful maskless lithography technique for production of high aspect ratio 3-D microstructures in polymer resists and semiconductors. HEFIB with Proton beam (P-beam writing) offers several unique advantages for microfabrication applications: (a) the focused beam is scanned directly across the sample (no mask), (b) the range of the beam in the sample is well defined with minimal lateral straggling than any other techniques, (c) use of different energies allows different exposure depths, (d) complex shapes are possible and (e) patterns can be made within short exposure time. These characteristics allow P-beam writing to be applied in several areas of microfabrication including (a) rapid (and cheaper) prototyping of 3-D microstructures, (b) custom built structures for basic research, (c) mask production and (d) stamp and mold manufacturing. Recently we have implemented high energy P-beam direct writing with a nuclear microprobe at the Louisiana Accelerator Center (LAC). We are presenting some of the modular structures illustrating the capabilities of this maskless micromachining technique and possible application into Micro-Electro-Mechanical Systems (MEMS) devices.
Posters: EUV Optics/Materials
icon_mobile_dropdown
High-precision optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materials
The low-thermal-expansion materials (LTEMs) used in extreme ultraviolet lithography (EUVL) must have an ultralow coefficient of thermal expansion (CTE) on the order of 10-9 K-1. Unfortunately, the resolution of commercial dilatometers is too low to accurately measure the properties of LTEMs for EUVL. So, we have developed a practical dilatometer tailored to meet EUVL requirements. It is based on the double-path heterodyne interferometer technology developed by AIST. This technology has the advantage of providing absolute CTE measurements, which means direct measurement of the change in specimen length with an interferometer. The design of the dilatometer has been optimized to yield high-precision measurements, and it should enable displacement measurements to be made with a resolution of better than one nanometer.
Reflectance change of Si- and Ru-capped Mo/Si multilayer mirrors caused by intense EUV irradiation
An EUV irradiation and reflectance measurement system using intense EUV radiation emitted from a long undulator at the NewSUBARU synchrotron radiation (SR) facility was developed. The system can measure the real-time reflectance drop during intense EUV irradiation and reflectance mapping as well as the photoemission current after irradiation at a fixed energy for atom absorption. The irradiated EUV beam was very intense, and the power density was about 400 mW/mm2. The reflectances of Si- and Ru-capped Mo/Si multilayer mirrors (MLMs) were measured under several conditions of EUV power, i.e., 120, 15, and 5 mW/mm2 for Si-capped MLMs, or of water vapor, i.e., 6.6x10-5 and 1.3x10-2 Pa for Ru-capped MLMs. Each reflectance was reduced as the dose was increased. The reflectance was significantly reduced at the higher partial pressure of water vapor. When the intensity of the beam flux was reduced using ND filters, the reflectance was significantly reduced under the same conditions of atmosphere and dose. Carbon cleaning and oxidation were progressed in the beam center although carbon deposition was much progressed in the beam fringe for Si-capped MLM. Ru-capped MLM was more resistant to radiation damage than Si-capped MLM at each partial pressure of water vapor. The results of X-ray photoelectron spectroscopy (XPS) for Ru-capped MLM showed that deposited and desorbed carbons were balanced at the beam center and carbon deposition occurred on the fringe of the beam.
Characterization of capped multilayer mirrors using XPS, AES, and SIMS
Hiromitsu Takase, Yoshio Gomei, Shigeru Terashima, et al.
The effect of extreme ultraviolet (EUV) exposure on the chemical states of ruthenium (Ru) capped multilayer mirrors (MLM) and Silicon (Si) capped MLM with increasing water pressure were investigated by using X-ray Photoelectron Spectroscopy (XPS). Also, The capability of analyzing carbon on the Ru capped MLM was investigated by using Auger Electron Spectroscopy (AES), XPS and Secondary Ion Mass Spectroscopy (SIMS). It was demonstrated that ruthenium oxide was produced on the surface by EUV exposure under water pressure more than 1x10-5 Pa. The dependency of oxidation on water pressure of the Ru capped MLM was not noticeable in comparison with the Si capped MLM, while Mo was not oxidized even under 1x10-3 Pa of water pressure. As for the analysis of carbon on Ru capped MLM, raising the precision of peak separation between carbon and Ru was required in AES and XPS and the investigation for quantification was needed in SIMS.
Critical dimension sensitivity to post-exposure bake temperature variations in EUV photoresists
Jason P. Cain, Patrick Naulleau, Costas J. Spanos
Chemically amplified resists depend upon the post-exposure bake (PEB) process to drive the deprotection reactions (in positive resists) that lead to proper resist development. For this reason they often exhibit critical dimension (CD) sensitivity to PEB temperature variation. In this work the effects of variation in different aspects of the PEB step on post-develop CD are studied for two extreme ultraviolet (EUV) photoresists. The spatial and temporal temperature uniformity of the PEB plate is measured using a wireless sensor wafer. Programmed variations in the bake plate temperature set point are then used to measure the CD sensitivity to steady state temperature variation. In addition, the initial temperature ramp time is modified using a thin sheet of polyimide film between the wafer and the bake plate. This allows for measurement of the CD sensitivity to transient temperature variation. Finally, the bake time is adjusted to measure the CD sensitivity to this parameter.
Modeling of EUV photoresists with a resist point spread function
Jason P. Cain, Patrick Naulleau, Costas J. Spanos
Extreme ultraviolet (EUV) lithography is under development for possible deployment at the 32-nm technology node. One active area of research in this field is the development of photoresists that can meet the stringent requirements (high resolution, high sensitivity, low LER, etc.) of lithography in this regime. In order to facilitate research in this and other areas related to EUV lithography, a printing station based upon the 0.3-NA Micro Exposure Tool (MET) optic was established at the Advanced Light Source, a synchrotron facility at Lawrence Berkeley National Laboratory. A resist modeling technique using a resist point spread function has been shown to have good agreement with experiments for certain EUV resists such as Shipley EUV-2D [2]. The resist point spread function is a two-dimensional function that, when convolved with the simulated aerial image for a given mask pattern and applied to a threshold function, gives a representation of the photoresist pattern remaining after development. The simplicity of this modeling approach makes it attractive for rapid modeling of photoresists for process development applications. In this work, the resist point spread functions for three current high-resolution EUV photoresists [Rohm and Haas EUV-2D, Rohm and Haas MET-1K (XP 3454C), and KRS] are extracted experimentally. This model is then used in combination with aerial image simulations (including effects of projection optic aberrations) to predict the resist pattern for a variety of test patterns. A comparison is made between these predictions and experimental results to evaluate the effectiveness of this modeling technique for newer high-resolution EUV resists.
Erosion and degradation of EUV lithography collector mirrors under particle bombardment
Jean P. Allain, Ahmed Hassanein, Martin Nieto, et al.
In extreme ultraviolet lithography (EUVL) environments both laser produced plasma (LPP) and gas discharge produced plasma (GDPP) configurations face serious issues regarding components lifetime and performance under particle bombardment, in particular collector mirrors. For both configurations debris, fast ions, fast neutrals, and condensable EUV radiator fuels (Li, Sn) can affect collector mirrors. In addition, collector mirrors are exposed to impurities (H,C,O,N), off-band radiation (depositing heat) and highly-charged ions leading to their degradation and consequently limiting 13.5 nm light reflection intensity. The IMPACT (Interaction of Materials with charged Particles and Components Testing) experiment at Argonne studies radiation-induced, thermodynamic and kinetic mechanisms that affect the performance of optical mirror surfaces. Results of optical component interaction with singly-charged inert gases (Xe) and alternate radiators (e.g. Sn) are presented for glancing incidence mirrors (i.e., Ru, Pd) at bombarding energies between 100-1000 eV at room temperature. Measurements conducted include: In-situ surface analysis: Auger electron spectroscopy, X-ray photoelectron spectroscopy, direct recoil spectroscopy and low-energy ion scattering spectroscopy; Ex-situ surface analysis: X-ray reflectivity, X-ray diffraction, atomic force microscopy and at-wavelength EUV reflectivity (NIST-SURF).
UIUC collector erosion and optical lifetime project results: time dependent exposures
Extreme ultraviolet (EUV) light sources are needed for next-generation lithography. A critical consideration in the development of such a source is the lifetime of collector optics. Frequent replacement of the mirror system will detract from the economic feasibility of EUV lithography. The Xtreme Commercial EUV Exposure Device (XCEED) at the University of Illinois has been designed to test the performance of various EUV mirror materials during operation of a commercial EUV source, and to investigate the mechanisms behind observed losses in reflectivity over a varying number of shots. Recently, four Mo/Si multilayer mirror samples were exposed in XCEED for variable numbers of shots, up to 40 million. The samples were analyzed to determine how the surface roughness was effected and how much material was eroded vs. time. XCEED also includes photodiodes to measure light output and light reflected from mirror samples, as well as a time-of-flight energy sector analyzer (TOF-ESA) for debris characterization. The results of these time-dependent exposures are presented in this work.
Evaluating advanced fuel candidates in surface cleaning of optics by plasma exposure (SCOPE)
One of the leading issues leading to decreased mirror lifetime is that debris contamination and buildup of debris on the surface of the primary mirror optics that comes from the use of both Sn and Li in GDPP or LPP. This debris generation leads to a decreased reflectivity from the added material thickness and increased surface roughness that contributes to scattering. In order to overcome this issue, it is important to understand the implantation of debris material into the optic material matrix and the subsequent diffusion of this debris within the mirror matrix. This change in composition and surface morphology can dramatically affect reflectivity and mirror lifetime. A multifunction test stand was built to investigate this mirror lifetime issues that arise from the use of both Li and Sn. Through the use of both a Li+ ion beam, electron beam evaporator for Li and Sn deposition, and a quartz crystal microbalance to study both lithium implantation and sputtering at various incident ion energies, angles, quantity of debris, and mirror temperatures, the problem of Li generated debris was investigated. Subsequent surface analysis via SEM, XPS, AFM, and TOF-SIMS, were used to study the resultant mirror material properties of composition, diffusion, and surface roughness leading to a better overall understanding of the mirror contamination issue. Preferential Li diffusion through a ruthenium capping layer was seen depthwise into the mirror matrix with minimal radial diffusion while the mirror sample was at room temperature. However, at an elevated temperature, enhanced surface radial diffusion of lithium and segregation in the capping layer was seen with minimal diffusion into the underlying mirror matrix. In conjunction with study of the contamination mechanism, the use of a secondary plasma was investigated as a way to prevent degradation and clean the mirror optics so as to extend the lifetime with no interference to the source or other components. Through the use of a helium helicon plasma, it was possible to induce lithium evaporation and break up of clusters that form on the surface. The plasma parameters were measured using a RF-compensated Langmuir probe to yield electron temperature and density while the sample mirror material was at various temperatures and voltage bias. It was shown that in situ plasma cleaning of optics is a valid method towards achieving improved mirror lifetime. For Sn optics cleaning, the use of halide etching to readily transport Sn off the surface was successfully demonstrated. Ar and Cl plasma and other gas mixtures demonstrated selective etch rate for Sn versus mirror materials of SiO2, Mo, Si, and Si3N4 and it is possible to set a scheme such that Sn debris is preferentially sputtered while leaving the mirror material undisturbed and yielding a long lifetime for the optics.
Apparatus for contamination control development in EUVA
Takashi Aoki, Hiroyuki Kondo, Shuichi Matsunari, et al.
For the development of chemical contamination control of extreme ultraviolet (EUV) lithography, especially to prolong the lifetime of optical elements, we had established and installed the experimental apparatus on Super-ALIS, a synchrotron facility beam-line, at NTT Atsugi laboratory, Japan. The apparatus is constructed with ultra high vacuum (UHV) components, then it is achieved that the residual gas pressure less than 5x10-7 Pa with no significant hydrocarbon contaminants. Using the setup, radiation-induced oxide formation and/or carbon deposition on EUVL optics mirror can be evaluated by EUV light irradiations and in-situ measurements of the reflectance under specified partial pressure of contaminants. Sub-system to introduce and control of pressure of water vapor in the irradiation chamber between 1x10-6 and 1x10-2 Pa is available. Preliminary results about dependency to water vapor partial pressure and EUV light intensity/dose indicate that the tendency that higher degree of oxidation of Mo/Si multi-layered mirror (MLM) surface is obtained from longer irradiation time and higher ambient water vapor pressure, whereas the Ru-capped mirrors maintains negligible oxidation if water pressure is 1x10-6 Pa. Electron-beam (EB) irradiation sub-system was also mounted for the accelerated and off-line oxidation and/or carbon deposition/cleaning evaluation. Vacuum ultraviolet (VUV) light (Xe2 excimer lamp: 172nm) irradiation sub-system with oxygen introduction is also available. Significant cleaning effect is obtained while oxidation of multi-layer mirror surface was observed. Estimated cleaning rate under the oxygen pressure of 100 Pa for sputtered carbon film is about 0.03 nm/min.
Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer
A rapid, damage-free method of removing carbon contamination from EUV multilayer has been developed that employs atomic hydrogen generated by a heated catalyzer consisting of a W wire. Test samples were prepared by contaminating Mo/Si multilayers with carbon using EB or synchrotron radiation (SR). The insertion of a thermal shield between the catalyzer and a sample prevented radiant heat from the catalyzer from damaging the sample during cleaning. Ex situ XPS measurements and measurements of the thickness of the carbon layer with optical thickness measurement systems showed that the new treatment completely removes carbon from multilayers. The EUV reflectivity of multilayers was measured before and after cleaning to assess any resulting damage. It was found that cleaning changed the reflectivity and the centroid wavelength only marginally, within the measurement error.
Posters: EUV Metrology
icon_mobile_dropdown
Off-synchrotron at-wavelength EUV metrology
Compact extreme ultraviolet (EUV) laboratory sources are strongly required for the fast on-site characterization of optical components and for the precise calibration of EUV diagnostic instruments. The "EUV tube" promises to become an important tool for these applications. This source is based on the transfer of advanced microfocus x-ray tube technology into the EUV spectral range. This allows the realization of a flexible, debris-free, and long-term stable EUV source. Silicon targets are used to generate radiation at 13.5 nm. Detailed characteristics of the source performance are reported and different examples for off-synchrotron at-wavelength metrology are presented.
EUV component and system characterization at NIST for the support of extreme-ultraviolet lithography
There are many obstacles in the path to the commercialization of EUV lithography including optics lifetime and source power. The Photon Physics Group at the National Institute of Standards and Technology has programs to support the extreme-ultraviolet source and optics development community in their efforts to meet the needs of lithography tool makers. Extreme-ultraviolet detector and optics metrology have been key elements of the Photon Physics Group program for over a decade, and this program has expanded recently to allow the calibration of assembled instruments for source development metrology. This program also includes a dedicated beamline for the exposure of multilayer optics in a low-pressure water atmosphere to test the performance of optics under irradiation conditions similar to those expected in a stepper's projection optics box. In this paper we will outline our programs in multilayer optics testing and at-wavelength detector and optics metrology including descriptions of facilities, capabilities and future plans to further support the efforts to commercialize this important technology.
XCEED: XTREME commercial EUV exposure diagnostic experiment
Erik L. Antonsen, Keith C. Thompson, Matthew R. Hendricks, et al.
The XCEED chamber was designed to allow diagnostic access to the conditions experienced by collecting optics for a discharge produced plasma (DPP) source. The chamber provides access for EUV photodiodes, sample exposure tests, Faraday cup measurements, and characterization of the ion debris field by a spherical sector energy analyzer (ESA). The Extreme Ultraviolet (EUV) light source creates a xenon z-pinch for the generation of 13.5 nm light. Typical EUV emission is characterized though a control photodiode. The chamber also allows characterization of optic samples at varying exposure times for normal and grazing incidence reflection angles during tests lasting up to 40 million pulses. The principal investigation is characterization of the debris field and the erosive effects on optics present. Light emission from the z-pinch is followed by ejection of multiply-charged ions which can significantly damage nearby mirror surfaces. Characterization of the ejecta is performed with an ESA that diagnoses fast ion species by energy-to-charge ratio using ion time of flight (ITOF) analysis. The ITOF-ESA is used to characterize both the energy and angular distribution of the debris field. In the current paper, the ESA is applied only to the ion debris emitted from the source. The effects of total particle flux on mirror samples are investigated through exposure testing. Samples are exposed to the source plasma and surface metrology is performed to analyze erosion and deposition effects on mirrors within the source chamber.
High-resolution residual layer thickness metrology using x-ray reflectivity
Controlling the thickness and uniformity of the unpatterned, residual layer is a critical challenge to sub-50 nm patterning with nanoimprint lithography (NIL). While nanometer level uniformity is essential, there is currently a lack of metrological capability for residual layer characterization. Specular X-ray reflectivity (SXR) is a versatile and widely used metrology to quantify the thickness, density, and roughness of thin smooth films. Here we extend specular X-ray reflectivity (SXR) to measure the thickness of the residual layer with sub-nm resolution. In addition to the residual layer thickness, X-ray reflectivity also reveals detailed information about the pattern height, the line to space ratio, and the relative line width variations of the pattern as a function of the pattern height.
Characterization of collector optic material samples before and after exposure in LPP and DPP EUV sources
The University of Illinois at Urbana-Champaign (UIUC) and several national laboratories are collaborating on an SEMATECH effort to characterize xenon plasma exposure effects on EUV condenser optics. A series of mirror samples provided by SEMATECH were exposed for 10M shots in an Xtreme Technologies XTS 13-35 commercial EUV discharge plasma source at UIUC and 5M at the high-power TRW laser plasma source at Sandia National Laboratories. Results for both pre and post-exposure material characterization are presented, for samples exposed in both facilities. Surface analysis performed by the Center for Microanalysis of Materials at UIUC investigates mirror degradation mechanisms by measuring changes in surface roughness, texture, and grain sizes as well as analysis of implantation of energetic Xe ions, Xe diffusion, and mixing of multilayers. Materials characterization on samples removed after varying exposure times in the XTS source, together with in-situ EUV reflectivity measurements, identify the onset of different degradation mechanisms within each sample over 1M-100M shots. Results for DPP-exposed samples for 10 million shots in our XCEED (Xtreme Commercial EUV Exposure Device) experiment showed, in general, that samples were eroded and the surfaces were roughened with little change to the texture. AFM results showed an increase in roughness by a factor of 2-5 times, with two exceptions. This was confirmed by x-ray reflectivity (XRR) data, which showed similar roughening characteristics and also confirmed the smoothening of two samples. SEM pictures showed that erosion was from 4-47 nm, depending on the sample material and angle of incidence for debris ions. Finally, microanalysis of the exposed samples indicated that electrode material was implanted at varying depths in the samples. The erosion mechanism is explored using a spherical sector energy analyzer (ESA) to measure ion species and their energy spectra. Energy spectra for ions derived from various chamber sources are measured as a function of the Argon flow rate and angle from the centerline of the pinch. Results show creation of high energy ions (up to E = 13 keV). Species noted include ions of Xe, the buffer gas, and various electrode materials. The bulk of fast ion ejection from the pinch includes Xe+ which maximizes at ~8 keV followed by Xe2+ which maximizes at ~5 keV. Data from samples analysis and ESA measurements combined indicate mechanism and effect for debris-optic interactions and detail the effectiveness of the current debris mitigation schemes.
Modeling the defect inspection sensitivity of a confocal microscope
The ability of a confocal microscope to inspect for defects on EUVL mask blanks has been investigated both experimentally and theoretically. A model was developed to predict the image contrast of confocal microscope. Measurements were made on PSL spheres and programmed multilayer defects using a Lasertec M1350 operating with 488 nm light. The images obtained of PSL spheres on both fused silica and multilayer-coated blanks are found to be accurately predicted with the model using no adjustable parameters. Good agreement is also demonstrated for the modeling of multilayer defects. Predictions are made for the expected increase in contrast at the shorter wavelength of 266 nm. Substrate roughness contributes to the "noise" which limits the sensitivity to small defects. The contrast fluctuations due to roughness have been modeled using a simple single surface approximation. The model has been validated with measurements on substrates with varying degrees of roughness. The contribution of mask roughness to the sensitivity of a 266 nm tool is estimated.
Two-wave pattern shift aberration monitor for centrally obscured optical systems
Jason P. Cain, Gregory McIntyre, Patrick Naulleau, et al.
An aberration monitoring technique based on lateral shifts of two-wave interference patterns in centrally obscured optical systems is presented, and simulations are used to evaluate the performance of such a technique. The technique is being explored as a convenient means for monitoring the aberration level in the 0.3-NA Micro Exposure Tool (MET) optic over time. A binary mask was designed for observing phase differences across the MET optic on cut-lines at 0, 45, 90 and 135 degrees across the pupil. The mask consists of 5 line-and space patterns in a dark field that measure the side-to-side phase difference across the pupil at 7 equally spaced radial points extending from 35% to 95% of the pupil radius. For near on-axis illumination the blockage of the zero-order creates a two-wave, interferometric pattern at the wafer with half of the period expected under normal imaging conditions. The optical path difference between the two orders produces an image shift of one full period of the (frequency doubled) interference pattern per 360 degrees of side-to-side path difference. Shifts on the order of 5 to 20 nm are expected and are measured using a reference target of an array of 5 medium sized dots. Aerial image simulation is being utilized to predict the expected performance and to improve the initial design. The aberrations measured by interferometry are being used for this purpose. Also the quality of images at low partial coherence with the wavefront convergence present in the MET illumination is being studied. In addition to theory and simulation results, practical considerations in implementing this technique on actual lithography tools based upon MET-type optics are addressed, including pattern design, illumination characteristics, and data analysis.
Posters: Maskless Lithography
icon_mobile_dropdown
High-throughput hybrid optical maskless lithography: all-optical 32-nm node imaging
We analyze the performance and process latitudes of a high-throughput, all-optical lithography method that addresses the requirements of the 32-nm node. This hybrid scheme involves a double exposure and only a single photomask. The first exposure forms dense gratings using maskless immersion interference lithography. These regular grating patterns are then trimmed in a second exposure with conventional projection lithography. While the highest resolution features are formed with interference imaging, the trimming operation requires significantly lower resolution. We have performed lithography simulations examining a number of representative 32-nm node patterns; both one-dimensional and two-dimensional. The results indicate that 32-nm node lithography requirements can be met using a hybrid optical maskless (HOMA) approach. Trim photomasks can be two to three generations behind the fine features, while the trim projection tools can be one to two generations behind the fine features. This hybrid optical maskless method has many of the benefits of maskless lithography without the severe throughput challenge of currently proposed maskless technologies.
Posters: Advanced Mask Characterization
icon_mobile_dropdown
Exploring the fundamental limit of CD control: a model for shot noise in lithography
Ming L. Yu, Allan Sagle, Benny Buller
We have made measurements with our Quadra raster shaped beam lithography system to evaluate the shot-noise-induced critical dimension uniformity (CDU). We found that at the isofocal dose, the shot-noise-induced CDU is directly proportional to the edge blur, and is linear with the rate of CD change with dose. Here we propose a phenomenological model which permits an experimentalist to relate the CDU to controllable lithographic parameters. The model considers both the counting statistics of the incident electrons and the noise from the electron induced chemistry. The model suggested that the shot-noise induced CDU may be minimized by reducing the beam blur, forward scattering, through the optimization of the resist smoothing distance and maximizing the number of acid molecules created in the resist by an incident electron. With the proper parameters, this model matches the experimental observations well. It also predicts the limit of the improvements and suggests guides for future resist development. Shot-noise induced line edge roughness is also discussed.
Posters: EUV Optics/Materials
icon_mobile_dropdown
High-temperature multilayers
The effect of elevated temperatures on the optical and structural stability of MoSi2/Si and Mo/C/Si/C multilayer coatings was investigated. The multilayer mirrors were designed for normal-incidence reflectivity at a wavelength of about 13.5 nm. The multilayers were deposited by dc-magnetron sputtering and subsequently annealed at temperatures of 400 °C and 500 °C for 1, 10 and 100 hours. X-ray scattering, transmission electron microscopy, atomic force microscopy and normal-incidence reflectivity measurements were used for the characterization of the multilayer structures. We achieved maximal normal-incidence reflectivities of 41.2 % and 59.6 % for as-deposited MoSi2/S and Mo/C/Si/C multilayer mirrors. While the optical properties of Mo/C/Si/C multilayers changed monotonically during annealing time at temperatures of more than 400 °C, the MoSi2/Si multilayers showed a superior thermal stability up to 500 °C. New barrier layer materials were also suggested to enhance the thermal stability of Mo/Si multilayers. Interface-engineered Mo/Si multilayer mirrors were designed to combine both a high reflectivity of more than 60 % at 13.5 nm and a superior long-term thermal stability of up to 500 °C.
Characterization of prototype optical surfaces and coatings for the EUV reticle imaging microscope
H. Glatzel, J. Daniel, K. Khajehnouri, et al.
To perform actinic inspection of patterned EUV reticles with diffraction-limited resolution at 13.5 nm wavelength aspheric optical surfaces with surface figure errors and roughnesses well below 1 nm had to be developed. The 3D surface topologies of prototype optical components were characterized over spatial periods ranging from the clear apertures down to 25 nanometers over 6 orders of magnitude by using a portfolio of instruments. 3D topography maps were Fourier analyzed and averaged Power Spectral Densities (PSDs) computed over the entire spatial frequency range. A good fit to the PSD was achieved with a linear function on a log-log scale. RMS values were computed over several spatial period ranges. All optical surfaces were coated with high-reflectivity coatings to maximize optical throughput at 13.5 nm for the average angle-of-incidence of each optic. The spectral reflectivity of the HR coatings, consisting of Molybdenum-Silicon bi-layers (40 periods) were measured using synchrotron instruments at the NIST/DARPA EUV Reflectometry Facility and the Center for X-Ray Optics at Lawrence Berkeley National Laboratory. Total variations (PV) of peak-position within the clear-apertures ranged from 0.005 nm to 0.020 nm, with the one exception being a highly-curved convex surface yielding a PV variation of 0.040 nm. Peak reflectivity variation was typically 0.2% to 1% PV over the clear aperture, with some of the variation being instrument precision. One optic was coated with Ruthenium only, approximately 16nm thick, with less than ±0.1 nm variation in thickness. Detailed information on the spectral reflectivity for the coatings is discussed.
Posters: EUV Sources
icon_mobile_dropdown
Debris mitigation and cleaning strategies for Sn-based sources for EUV lithography
D. J. W. Klunder, M. M. J. W. van Herpen, V. Y. Banine, et al.
The EUV source is an essential part of an EUV lithography exposure tool. All EUVL sources, and especially Sn-based sources, not only generate the desired radiation at a wavelength of 13.5 nm but also produce debris that limits the lifetime of the collecting optics in the lithographic system. In order to increase the lifetime of these optics we have successfully demonstrated the feasibility of both debris mitigation and cleaning strategies. In this paper, we show the experimental results for different debris mitigation schemes as performed in cooperation with Philips Extreme UV. We performed our experiments under realistic conditions: samples that closely resemble the optics in the EUV lithography set-up and positioned at realistic distances and orientation to the Sn-based EUV source. Using these schemes we were able to suppress both atomic debris and Sn-particles generated by the Sn-based EUV source with 4-5 orders of magnitude. Based on our experiments, we found a significant improvement in the lifetime of the EUV optics. In addition to avoiding the contamination of EUV optics, one can also clean the contaminated EUV optics. To do this, we have developed a technology for removing Sn (and C) using atomic hydrogen. We demonstrated Sn removal at a rate >200 nm/hour under a variety of experimental conditions (temperature, pressure). Our results demonstrate that combining debris mitigation schemes with cleaning schemes could lead to an EUV lithography system with acceptable operational lifetimes.
Posters: EUV Optics/Materials
icon_mobile_dropdown
Multilayer coatings for the EUVL process development tool
E. Louis, E. Zoethout, R. W. E. van de Kruijs, et al.
Reported is a summary of the coating of three elements of the illuminator and three of the projection optics of the EUVL Process Development Tool. The coating process used is e-beam evaporation in combination with low energy ion beam smoothening. The reflectance of the coatings, which are covered with a special protective capping layer, is typically around 65% and the non correctable figure error that is added by the full multilayer stack is controlled to better than 15 picometer.
Plenary Papers
icon_mobile_dropdown
Full-chip lithography simulation and design analysis: how OPC is changing IC design
Ten years ago Model-Based OPC (MB-OPC) was a research project of questionable usefulness, seen possibly as a fix until the next generation stepper was available. Today MB-OPC is one of the key technologies enabling 90nm production. In that brief span many technological challenges were resolved to allow MB-OPC to be performed on full chip layout with manageable computer resources and turnaround times. As MB-OPC has transitioned from a research to a production activity, several organizational challenges have arisen. Defining the steps and procedures involved in creating OPC techfiles has been necessary to allow the increased workload to be shared. Testing and documenting the OPC recipes has become a necessary discipline to ensure quality and repeatability in manufacturing. In addition to the engineers who create the OPC recipes, we now also have Fab OPC Engineers to support OPC verification and continuous improvement activities. Furthermore, the OPC process, i.e. the modification of the layout to account for the manufacturing process, has provided a tantalizing link between the design, process development and yield engineering communities. The EDA framework appears to provide a common language, however we are just beginning to ask the right questions to allow us to unlock the potential that appears so close. The paper will begin with a historical overview of the development of MB-OPC and describe the seemingly overwhelming obstacles, both computational and in mask fabrication that had to be overcome. The second part of the paper will deal with some of the problems that have arisen as MB-OPC has become a critical technology for high volume production. The final part of the paper will discuss how MB-OPC has changed the way that Lithography, Integration and Design engineers interact. Some examples of design/process interaction will be given as well as a discussion of future developments.
Lithographic technologies that haven’t (yet) made it: lessons learned
R. Fabian Pease
Since the introduction of the integrated circuit we have been inventing ways to extend the feature resolution beyond the optical limit. Using a focused electron beam linewidths of less than 100nm were demonstrated in 1960 and a mere three years later we achieved a 10nm feature. In the 1970's and 80's several semiconductor manufacturers undertook programs to introduce electron beam lithography (EBL) and X-ray lithography (XRL) based primarily on the rationale that both had superior resolution. Those programs consumed many millions of dollars and yielded, and continue to yield, very imaginative systems but have failed to displace deep ultraviolet lithography (DUVL) despite its inferior resolution. One lesson learned is an old one: to displace an established technology the new must be 10x better than the old. Thus it is irrational that even today a form of XRL employing 13nm X-rays is still being pursued despite showing performance inferior to that of DUVL. What constitutes 'better' depends on the application and thus there are niche markets for forms of lithography other than DUVL. But for mainstream semiconductor chip manufacturing there is no prospect within the next decade of displacing optical lithography which can be stretched even to 10nm features by applying novel techniques coupled with massive computation.