Proceedings Volume 11147

International Conference on Extreme Ultraviolet Lithography 2019

Toshiro Itani, Paolo A. Gargini, Patrick P. Naulleau, et al.
cover
Proceedings Volume 11147

International Conference on Extreme Ultraviolet Lithography 2019

Toshiro Itani, Paolo A. Gargini, Patrick P. Naulleau, et al.
Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 15 November 2019
Contents: 13 Sessions, 38 Papers, 29 Presentations
Conference: SPIE Photomask Technology + EUV Lithography 2019
Volume Number: 11147

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 11147
  • Plenary Session: Joint session with conferences 11147 and 11148
  • EUV Scanner, Source, and Industrialization
  • EUV Stochastic I
  • EUV Mask and Lithography Integration: Joint Session with conferences 11147 and 11148
  • EUV Resist I
  • EUV Blank and Films: Joint Session with conference 11147 and 11148
  • EUV Defects, Inspection and Characterization: Joint Session with conferences 11147 and 11148
  • EUV Pellicle: Joint Session with conferences 11147 and 11148
  • EUV Resist and Material
  • High-NA and EUV Imaging
  • EUV Stochastic II and Future
  • Poster Session
Front Matter: Volume 11147
icon_mobile_dropdown
Front Matter: Volume 11147
This PDF file contains the front matter associated with SPIE Proceedings Volume 11147, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.
Plenary Session: Joint session with conferences 11147 and 11148
icon_mobile_dropdown
EUV Extendibility at 0.33 and 0.55NA (Conference Presentation)
Review of near and long term extension challenges for EUV lithography at 0.33 and 0.55NA. Focus on mask and infrastructure gaps + opportunities and a review of progress made in critical areas to support EUV extension.
EUV Scanner, Source, and Industrialization
icon_mobile_dropdown
Progress on 0.33 NA EUV systems for High-Volume Manufacturing
Progress on 0.33 NA EUV Systems for High-Volume Manufacturing With the introduction of the fifth-generation NXE:3400 scanner, ASML has brought EUV lithography to high-volume manufacturing (HVM) of the 7-nm logic node and beyond while fully supporting the use of pellicle. In this presentation, we give an update on lithographic performance results obtained from the latest NXE:3400 system that is characterized by a numerical aperture (NA) of 0.33, a pupil-fill ratio (PFR) of 0.2 and a throughput capability of more than 155 wafers per hour. To maximize the number of yielding dies per day, excellent overlay, focus, and critical dimension (CD) control have been realized, in combination with intrinsic tool stability with holistic control schemes. Stochastics are addressed throughout the production process and scanner contribution specifically. We will also show matching performance for both overlay and imaging, and further improvements in focus process dependencies for the 5-nm logic node and beyond, including the ASML roadmap for meeting the requirements for future nodes.
Advancing EUV lithography optics (Conference Presentation)
Heiko Feldmann, Olaf Conradi, Paul Graeupner, et al.
The optical train is a key element of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. The most relevant performance parameters of the illumination system are the maximum achievable setting flexibility, off-axis imaging capability (sigma) and pupil fill ratio (PFR). The key drivers of the projection optics are numerical aperture (NA), aberration level, and stray light. In this paper, we present an overview of the current EUVL generation and the optical system, designed to improve resolution limit, contrast and overlay performance within the scanner. The projection optics features a NA of 0.33 with significantly reduced aberration levels. These key scanner parameters have been substantially reduced to improve overlay and image contrast supporting volume production. While double patterning is a possible extension with the current generation of EUVL tools, there is still a strong desire to print smaller chip patterns directly. Therefore a next generation of EUV called “High-NA EUV” is already in preparation. This tool generation with an optical system -ZEISS Starlith®5000- will have a NA of 0.55 and a resolution of 8nm for direct printing of these finest features. Achieving 8nm resolution means tighter specifications for the wavefront. Therefore, extreme aspheres with improved accuracy of the mirror surface are needed that pose next to the large size of the mirrors and the anamorphic design another challenge to the optics manufacturing. To build these systems a completely new production infrastructure is needed which is under installation in parallel with the product design and technology development. In this paper the current progress of the development will be shown.
Challenge of high power LPP-EUV source with long collector mirror lifetime for semiconductor HVM
Gigaphoton develops CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies including; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses for shooting and debris mitigation by magnetic field have been applied. We have developed first practical source for HVM; "GL200E" in 2014. Then it is demonstrated which high average power CO2 laser more than 20kW at output power in cooperation with Mitsubishi Electri. Pilot#1 is up running and it demonstrates HVM capability; EUV power recorded at 111W on average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22 hour operation in October 2016. Availability is achievable at 89% (2 weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.5%/Gp) at 100W or higher power operation with dummy mirror test. We have demonstrated actual collector mirror reflectivity degradation rate is less than 0.4%/Gp by using real collector mirror around 125W (at I/F clean) in burst power during 30 Billion pulses operation. Recently we have redefined target power higher <330W and its development plan. Also we will update latest challenges for <330W average operation with actual collector mirror at the conference.
EBL2 an EUV (Extreme Ultra-Violet) lithography beam line irradiation facility
Adoption of EUV lithography for high-volume production is accelerating. TNO has been involved in lifetime studies from the beginning of the EUV alpha demo tools. One of the facilities for these studies is the EUV Beam Line (EBL1) designed and installed at TNO, in close cooperation with Carl Zeiss. There was a desire to improve on the performance of EBL1 in terms of source power and intensity, and in handling of full size EUV photomasks. For this purpose TNO has invested in the realization of a second EUV Beam Line: EBL2. EBL2 makes use of a tin fueled (USHIO) source in order to have a similar pulse length, shape and spectrum as an EUV scanner of ASML. Samples can be exposed to various doses/intensities of EUV light. Various process gasses can be introduced in a broad range of partial pressures and also sample temperature can be controlled. In-situ ellipsometry and in-situ X-ray Photoelectric Spectroscopy (XPS) is available to track surface changes/modifications. In this presentation we will discuss the capabilities of this unique research facility which is open for external customers studying the influence of EUV radiation on mirrors, sensors, fiducials, pellicles and EUV photomasks. We will discuss in this presentation parts of the validation studies and the experience we gained over the past year by running the setup for external customers.
EUV Stochastic I
icon_mobile_dropdown
Predicting stochastic defects across the process window
A method is proposed for fast CDSEM screening of defect process windows. The concept of Tail CD is used to build defect correlation trends from limited sampling. The trends are extrapolated towards larger ensembles to overcome the throughput limitation of the CDSEM. Application of the methodology on 3 different use cases (square and hexagonal contact hole arrays and L/S pattern) was demonstrated and limitations discussed. The impact of experimental conditions such as illumination, resist choice and etch was investigated. The predicted process windows were verified for selected dies to check the accuracy of the prediction, which showed good agreement.
Metrics for stochastic scaling in EUV lithography
Background: The stochastic nature of extreme ultraviolet (EUV) lithography produces many undesirable effects such as line-edge roughness and local critical dimension variations. These stochastic problems are worse when trying to manufacture at high throughput and high resolution. Aim: There is a need for greater understanding of the sources of stochastic variability in EUV lithography, and whether photon shot noise or photoresist variabilities dominate. Approach: From first-principle arguments, the basic scaling relationships of roughness versus dose, image quality, and resist properties can be derived that account for most of the important affects that control stochastic outcomes. Results: From these derivations, it is shown that acid yield controls the relative importance of resist versus photon shot noise, with acid yield equal to 1 producing equal contributions of acid shot noise and photon shot noise. Quencher adds uncertainty to the amount of acid generated, but the increase in final latent image gradient can make up for this increase in noise with less loss in signal. Conclusions: Although a full model of line-edge roughness is not complete (with much more work yet to be done), the results to date provide a roadmap for resist design, though without a silver bullet for roughness improvement.
Large area EUV via yield analysis for single damascene process: voltage contrast, CD and defect metrology (Conference Presentation)
Victor M. Blanco Carballo, Sara Paolillo, Marleen van der Veen, et al.
In this work we show measurement results on EUV vias through full process integration; after litho (ADI), after etch (AEI) and after CMP polish (API) for a wide range of designs (regular arrays, logic, SRAM, and alignment and overlay mark designs) on a single damascene via scheme. Physical inspection (top view) and voltage contrast (VC) measurements are used to determine number of via failures at different stages of the process flow. While the physical inspection reveals vias missing and merging on top layer (at resist level ADI or hard mask AEI) the VC also can show failing vias AEI at the bottom of the via or in general failing vias API due to failing via fill during metallization and etch. Different metallization schemes including TaN vs TaNRu liners and Co vs Cu plating and CMP were compared using VC. Quantification of VC anomalies in the inspection images allows judgement of the metal fill on the single damascene via patterning across the different structures. The number of missing and merging vias AEI and API is used as a proxy for yield and this metric was used to drive process optimization. Given the large FoV of images collected on eP5 tool with a field of view 8µm x 8µm - 12µm x 12µm with 1nm or 2nm pixel size it is possible to determine both CD and defects in the same inspection for a substantial number of vias in a relatively short inspection time. Furthermore the VC inspection method is applied to an imec vehicle consisting of via chains at 32nm pitch to determine and localize failures along the via chain.
EUV Mask and Lithography Integration: Joint Session with conferences 11147 and 11148
icon_mobile_dropdown
Alternative reticles for low-k1 EUV imaging
Alternative reticles have the potential to improve EPE for low-k1 EUV lithography on multiple aspects, by reducing mask 3D effects and improving optical contrast. We study the application of high-k masks and attenuated phase-shift masks at diffraction level and show that mitigation of mask 3D effects, such as contrast fading, is crucial for both good performance of both alternative-reticle types. We present optimum embodiments for both mask types. We find that the optimum attenuated phase-shift mask (PSM) results in a phase shift of 1.2 π. The extra 0.2 π phase shift required for the EUV mask compared to its DUV counterpart is needed to compensate the strong mask 3D effects; the 1.2 π phase shift is crucial for good performance at small pitch and was found for all 3 materials studied in this work: Ru, Pd, and Mo. We show that our Rubased attenuated PSM embodiment results in a strong gain in normalized image log slope (NILS). <30% NILS gain can be achieved compared to a Ta-based reference mask. To demonstrate the generic applicability of the mask, we show NILS gain using the same attenuated PSM embodiment for different use cases for 0.33 and 0.55-NA EUV lithography, including regular contacts, DRAM patterns, and contacts through pitch. We show that the optimum mask-type choice is application dependent and present our recommendations in a mask-decision tree. We discuss the implications of using new reticle absorbers for scanner integration.
Improving exposure latitudes and aligning best focus through pitch by curing M3D phase effects with controlled aberrations
We show, in simulation and by wafer exposures, how to improve an EUV Single Exposure Metal direct print at NA 0.33. Based on a fundamental understanding of Mask 3D effects, we show how to design a pupil in conjunction with induced aberrations to cure the M3D phase effects. For L/S through pitch, we increase NILS/exposure latitude by ~10%, reduce the best focus range by two thirds, and reduce Bossung tilts. Simultaneously, we reduce tip-to-tip (T2T) CD by 1-4nm at constant exposure latitude and LCDU. In EUV, M3D effects lead to phase modulation of the diffracted orders. This results in relative pattern shifts of images coming from different pixels in the pupil. We find that these pattern shifts are pole specific as M3D phase effects effectively induce phase tilts of opposite sign for opposite poles. This results in a pattern independent aerial image shifts for each pole. Here we show how these shifts can explain M3D phase effects (NILS loss by fading, best focus through pitch variation, Bossung tilts) and how they drive source optimization. Furthermore, we show how it is possible to counteract these M3D effects. Disentangling the diffraction orders, so that each point in the pupil plane is passed only by a single diffraction order, we can find a suitable aberration (Z6 for L/S) that effectively introduces a phase tilt of opposite sign per pole and cures the M3D effects. The idea is also applicable to other use cases: For dense contact holes we need to inject a phase front that shifts 0th against 1st order phase.
EUV Resist I
icon_mobile_dropdown
Development of main chain scission type photoresists for EUV lithography
A. Shirotori, Y. Vesters, M. Hoshino, et al.
In this work, we report the lithography performance of main chain scission type resists exposed with the ASML NXE3300B EUV scanner. We also detail the advancements achieved in recent developments of the novel process conditions and the polymer properties of main chain scission type resist. With optimized resist thickness and resist developer, a resolution of 16 nm half-pitch for dense line-space pattern can be achieved with a low roughness but with a high dose over 70 mJ/cm2 is required for ZER01 series (based on Zeon’s resists for EB lithography). Therefore, Zeon developed ZER02 series (Zeon’s novel resists) for EUV lithography, with a modified polymer structure improving the EUV absorption. The lithographic capabilities of dense Line-Space (L/S) patterns and orthogonal contact hole (C/H) patterns with ZER02#1 is presented. For L/S pattern, a resolution of 16 nm half pitch was achieved at an exposure dose of 57 mJ/cm2, giving an unbiased LWR of 2.7 nm and an unbiased LER of 2.0 nm. For orthogonal C/H pattern, 44 nm pitch was resolved at 50.4 mJ/cm2, with a LCDU of 3.4 nm. In addition to the enhancement of the lithographic performance achieved, fundamental studies with Gel Permeation Chromatography (GPC) and Dissolution Rate Monitor (DRM) were conducted to understand the pattering mechanism of ZER02#1 under EUV exposure.
Initial results with the high opacity multi-trigger resist (Conference Presentation)
Greg O'Callaghan, Carmen Popescu, Yannick Vesters, et al.
The development of an EUV photoresist to support high volume manufacturing remains a challenging issue, No material currently meets the combined resolution, sensitivity, and line width roughness (RLS) requirements. Furthermore defectivity issues arising from stochastic effects are becoming increasingly critical as pitches decrease. Whilst traditional chemically amplified resists will likely support the initial insertion, a wide range of materials options are being examined for future nodes [1–3], aiming to identify a photoresist that simultaneously meets RLS and defectivity requirements. Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept. In a multi-trigger resist multiple elements of the resist must be simultaneously activated to enable the catalytic reactions to proceed. In high dose areas the resist therefore behaves like a traditional CAR, whilst in low dose areas, such as line edges, the reaction is second-order increasing the chemical gradient. Effectively there is a dose dependent quenching-like behaviour built in to the resist, enhancing chemical contrast and thus resolution and reducing roughness, whilst eliminating the materials stochastics impact of a separate quencher. The multi-trigger material previously presented [4, 5] consists of a base molecule and a crosslinker, which represent the resist matrix, together with a photoacid generator (PAG). MTR2 showed 16 nm half pitch lines patterned with a dose of 38 mJ/cm2, giving a LER of 3.7 nm on the NXE3300 [4]. Since then, research has been undertaken to improve this resist. In particular we are focusing on improving resist opacity and present initial results for the MTR262Z(D) resist formulation here. We have demonstrated semi-dense 16.9 nm CD lines patterned using the NXE EUV Scanner in MTR262Z(D) at a halfpitch of 20nm, and dose of 13 mJ/cm2, and lines with a CD of sub-13 nm patterned at 22.5 mJ/cm2 on a 16 nm halfpitch. We also present work aimed at improving the LWR of the high opacity resist formulation at high resolution, particularly aimed below 16nm hp using dense lines when patterned using EUV lithography at the Paul Scherrer Institute, Switzerland. The material shows 13.3 nm lines on a 14 nm half pitch, with an LWR of 2.97 nm and dose of 26 mJ/cm2. [1] Stowers, J. K., Telecky, A., Kocsis, M., Clark, B. L., Keszler, D. A., Grenville, A., Anderson, C. N., Naulleau, P. P., “Directly patterned inorganic hardmask for EUV lithography,” Proc. SPIE 7969, 796915 (2011). [2] Krysak, M., Trikeriotis, M., Schwartz, E., Lafferty, N., Xie, P., Smith, B., Zimmerman, P., Montgomery, W., Giannelis, E., Ober, C. K., “Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193 nm lithography,” Proc. SPIE 7972, 79721C (2011). [3] Cardineau, B., Del Re, R., Al-Mashat, H., Marnell, M., Vockenhuber, M., Ekinci, Y., Sarma, C., Neisser, M., Freedman, D. A., Brainard, R. L., “EUV resists based on tin-oxo clusters,” Proc. SPIE 9051, 90511B (2014). [4] Vesters Y., McClelland A., Popescu C., Dawson G., Roth J., Theis W., de Simone D., Vandenberghe G., Robinson A.P.G, “Multi-trigger resist patterning with ASML NXE3300 EUV scanner,” Proc. SPIE 10586, (2018). [5] Popescu, C., Kazazis, D., McClelland, A., Dawson, G., Roth, J., Theis, W., Ekinci, Y., Robinson, A.P.G., “High-resolution EUV lithography using a multi-trigger resist,” Proc. SPIE 10583, 10583-54 (2018)
EUV Blank and Films: Joint Session with conference 11147 and 11148
icon_mobile_dropdown
Interfacial quality of high-reflectivity Mo-Si multilayers for EUV mask blanks
Narasimhan Srinivasan, Katrina Rook, Paul Turner, et al.
As Extreme Ultra Violet lithography (EUVL) is becoming adopted into manufacturing, there is an ongoing need to identify and improve the EUV mask multilayer properties that impact reflectivity. Key properties include the roughness and inter-diffusion depth at the Mo-Si interfaces. During mask usage, on exposure to EUV, the interfaces are impacted during thermal cycling, so interfacial stability is key. We report on the use of X-ray reflectivity (XRR) to probe the interfacial depth and roughness of Mo/Si multilayers deposited via secondary ion beam deposition (IBD). We confirm top-surface roughness by AFM. We measure minimal impact of the underlying substrate on top-surface roughness of Mo-Si multilayer stacks. Mo and Si single-layer roughness are shown to be primarily dependent on deposition angle; with minimal roughness at intermediate angles and significant deterioration beyond a deposition angle of about 60 degrees. We use this angular dependence to systematically vary the interfacial roughness and monitor the impact on the XRR measurement. We demonstrate that XRR, with attention to the Fourier Transform, may also be used to quantify the inter-diffusion depth at the Mo-Si interfaces. We measure inter-diffusion depths of 0.5 - 1.8nm. A simulated model is developed, incorporating both interfacial depth and roughness, and the experimental data are compared with this model. The model could be applied to quantify the impact on the interfaces of: beam energy and flux; incidence angles; gas species and pressure; interfacial treatments; thermal treatment; or mask usage.
EUV mask polarization effects
Lilian Neim, Bruce W. Smith
As the extreme ultraviolet (EUV) lithography technology progresses towards and below sub-7nm generations, polarization effects will begin to have an impact. As numerical apertures increase, the consequences at both the mask and the wafer plane need to be understood. Contrast losses at large angles can occur from non-ideal interference at the wafer plane (i.e. TM vs. TE polarization). While such loss of infidelity can be low for near wavelength half-pitch generations, additional image degradation can be attributed to polarization effects for higher resolution generations. Some of this arises from the mask. The polarized reflectance from a EUV photomask is influenced by the multilayer reflective stack as well as the polarizing effect of the patterned features. This paper explores the polarization effects that are induced by EUV masks for sub-7nm lithography generations. From the results, it was found that there is polarization-dependent induction and attenuation of current in EUV mask structures as mask pitch decreases.
EUV Defects, Inspection and Characterization: Joint Session with conferences 11147 and 11148
icon_mobile_dropdown
Stochastic printing behavior of ML-defects on EUV mask
One of the residual concerns for use of EUV patterning for IC manufacturing is its stochastic behavior. The present paper specifically studies the contribution of mask defects to the stochastic failure rate, with a focus on defects related to the multilayer mirror of the mask, so-called ML-defects. By simulation, a universal relation is obtained between the probability that a given mask defect triggers a stochastic failure on wafer and the average local CD deviation that it causes. Even marginal ML-defects, which cause less than 10% CD deviation, are shown to act as trigger points for locally increased failure probability. This finding is supported by experimental data based on AIMS EUV aerial image measurements in scanner photon stochastics emulation mode. In addition, other local defect types on mask are shown to behave in the same way. Non-local mask deficiencies, such as line-edge roughness and contamination effects, can influence the contribution of the mask to the stochastic failure rate of the printed image on wafer.
EUV reticle inspection using phase retrieval algorithms: a performance comparison
RESCAN is an actinic patterned EUV mask metrology tool based on coherent diffraction imaging. An image of the reticle is reconstructed from recorded diffraction patterns using a phase retrieval algorithm. As semiconductor manufacturing has moved to EUV lithography to meet the next technology node, accurate photomask metrology with resolution in the nanometer range is crucial for high production yield. To find the optimal reconstruction strategy to achieve the highest resolution, sensitivity and reconstruction speed in RESCAN, we compared three algorithms. We demonstrate that, for the current setup, the best approach is the difference map algorithm.
EUV Pellicle: Joint Session with conferences 11147 and 11148
icon_mobile_dropdown
Particle on EUV pellicles, impact on LWR
Michiel Kupers, Gijsbert Rispens, Lokesh Devaraj, et al.
The EUV pellicle is a thin membrane intended to shield the reticle from particles. Any particles on the pellicle will be out of focus but large particles can still locally influence pattern formation. This work experimentally determines the local imaging influence dependence of particle size. A predictive model for CD change was formulated and validated. Furthermore, a linear relation between the change of CD vs. LWR was derived and found to be driven by the resist only. Therefore, the CD and LWR influence from a particle can be predicted, enabling meaningful specification limits for particle size from an imaging perspective.
Standalone tools for actinic EUV pellicle qualification (Conference Presentation)
Rainer Lebert, Andreas Biermanns-Föth, Christian Pampfer, et al.
EUV pellicles guarding masks from defects and dynamic gas lock thin film windows are considered a corner stone for using EUVL in chip production. For providing such thin film products with certified features EUV actinic metrology tools are needed in the industrial supply chain. For best conservation of optical quality and throughput in the scanner, such EUV pellicles should have high transmission of > 90 % with a transmission uniformity of > 99.6 %, low reflectance of much less than 0.1 % and low scatter of EUV. The specification for the ideal metrology tool is qualifying a full sized EUV pellicle with precision of better 0.1 % for transmission and 0.001% for reflection, the ability to quantify the scatter distribution. The measurements should be accomplished in acceptable process time and should neither add contaminate nor particulate to the product under test. Within RI Research Instrument’s support of EUVL infrastructure by developing actinic metrology solutions, we have engaged in this task by applying our proprietary effective inband EUV metrology scheme described elsewhere. While the first generation tool has been presented elsewhere, we now present general considerations on measurement process and tool architecture for the combined three tasks in the second generation tool. Full pellicle transmission and reflectance mapping are measured in less than 2 hours fully automatic process time (careful load-locking included). Measured transmission show precision and accuracy of better 0.1 % for a qualification pixel resolution of 300x300 µm2. Performance of the reflectance measurements is under investigation but expected to be in the range of 0.001 % precision demonstrated in proof of concept experiments in our application lab. The first generation tool is used by our customer in routine pellicle production and is constantly running with uptimes better than 95%.
Extreme-ultraviolet pellicle durability comparison for better lifetime
To accelerate extreme ultraviolet lithography manufacturing, a better pellicle which has a longer lifetime is required. Various materials and their combinations are suggested and implemented to extend the lifetime. Using finite element method, we analyzed the mechanical behavior of pellicle in terms of crack time when a pellicle begins to tear off. Without thermal property analysis, we were able to get relative crack times for various pellicle structures. Single walled carbon nanotube has the largest relative crack time but needed to be commercialization and multi-layer pSi core pellicle has longer lifetime than a single pSi core pellicle. Additionally, increasing Ru capping layer helps to strengthen the mechanical properties of the pSi core pellicle.
EUV Resist and Material
icon_mobile_dropdown
High-Z metal-based underlayer to improve EUV stochastics (Conference Presentation)
Extending extreme ultraviolet (EUV) single exposure patterning to its limits is dependent on eliminating its stochastic defectivity. Along with developments in photoresist platforms, the patterning film stack also needs to be considered. The material immediately underneath the photoresist is expected to have significant impact on both lithographic and pattern transfer performance. By designing the resist substrate interface with high EUV absorbance, there is potential to increase the EUV quantum yield of the exposure process. This paper will demonstrate the patterning of a chemically amplified resist on a high-Z metal-based hardmask. The potential for dose reduction, higher etch selectivity, and defectivity improvement from a high-Z hardmask will be discussed. Deposition-trim etch techniques will be used for decreasing the transfer of stochastic defects to the underlying substrate. Sub-32nm pitch trench patterning, defectivity, and electrical yield for this patterning stack will be highlighted.
Investigating EUV radiation chemistry with first principle quantum chemistry calculations
Jonathan H. Ma, Han Wang, David Prendergast, et al.
In Extreme Ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is vital to targeted engineering of materials. As electron interactions are non-discriminative, studying these processes directly in condensed phase with experiments is extremely challenging. Proxy experiments such as gas phase experiments and solution phase experiments are only viable to a limited subset of materials, limiting their use for large scale material screening. First principles quantum chemistry calculations have been adopted by various industries for materials development and investigation. We demonstrate that such calculations can be used to model processes involved in EUV radiation chemistry. We can reproduce experimental results and predict dose to clear with such calculations. In this article, we first demonstrate that primary electron energy spectrum can be predicted accurately. Secondly, the dynamics of a photoacid generator (PAG) upon excitation or electron attachment is studied with ab-initio molecular dynamics calculations. Thirdly, we demonstrate that electron attachment affinity is a good predictor of reduction potential and dose to clear.
Leveraging chemical contrast to spotlight the latent profile of novel resists (Conference Presentation)
Isvar A. Cordova, Guillaume Freychet, Luke Long, et al.
The development of novel resists that can match the challenges posed by the next generation of lithography partly hinges on our ability to develop new methods for extracting spatial information with sub-nm precision at each step of the lithographic process. This is particularly important for EUV resists, which tend to suffer from the seemingly inescapable trade-off between improving photon sensitivity and resolution, while reducing line edge roughness (LER). The strategies used to optimize this trade-off rely on tailoring the physicochemical mechanisms that take place before the final development of the resists, thus finding a way to characterize the structure of their latent image is critical to future progress. To meet some of these challenges, resonant x-ray scattering (ReXS) has emerged as a powerful technique which leverages the chemical contrast that exists between exposed and unexposed regions before the final development step in order to produce the average cross-sectional profile of the latent image with sub-nm precision. Most recently, the ReXS technique was demonstrated in a grazing incidence configuration by leveraging contrast in the carbon element’s local chemistry in order to extract the latent image of a patterned chemically amplified resist (CARs) directly on a silicon substrate[1]. In this work, we show how this capability may be extended to extract chemical contrast from other active elements in a given resist and expand on the potential benefits and drawbacks (i.e. beam damage, experimental configurations, and LER sensitivity) of performing such measurements both before and after the post-exposure baking step has taken place. Finally, we will present comparisons between the latent image profile collected at various resonant x-ray energies and the final developed structure corresponding to a variety of modern commercial resists used both for e-beam and EUV lithography. Such unique spatiochemical information should provide useful guidelines for both the synthesis and processing of the next generations of resist materials. [1] G. Freychet, I.A. Cordova, T. McAfee, D. Kumar, R.J. Pandolfi, C. Anderson, P. Naulleau, C. Wang, A. Hexemer, Using resonant soft x-ray scattering to image patterns on undeveloped resists, SPIE2018.
High-NA and EUV Imaging
icon_mobile_dropdown
High-NA EUV Lithography exposure tool: program progress and mask impact (Conference Presentation)
Jan Van Schoot, Eelco van Setten, Kars Troost, et al.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics concerning the interaction of the High-NA optics and its associated different magnifications on requirements for the mask, in particular defectivity, flatness, substrate thermal properties, novel absorbers, need for assist features, pellicle and stitching.
Progress overview of EUV resists status towards high-NA EUV lithography
We investigated how the processing parameters, including post exposure baking (PEB), and resist film thickness (FT) influence the dose and line width roughness (LWR) of different types of EUV resists, targeted for the high-NA EUV lithography. We compared the dose and LWR of molecular, inorganic and CAR resists at half-pitch (HP) of 16 and 14 nm for different PEB temperatures. The results show that without PEB or at lower PEB temperature, resists require higher doses, as expected. We also observed the different behavior of various resist platforms in response to variation of the film thickness. The results showed that there is a room for the optimization of the processing parameters to improve dose and LWR of molecular, inorganic and CAR resists for line/space printing at high resolution.
Status and performance of the 0.5-NA EUV microfield exposure tool at Berkeley Lab (Conference Presentation)
To meet industry demand for EUV materials testing capabilities down to the 2 nm lithography node, the EUV Photoresist Testing Center at Berkeley Lab has been expanded to include a 8-nm resolution, 0.5-NA EUV microfield exposure system with robotic sample processing tailed for research. This paper provides an overview of the capabilities and performance of the 0.5-NA EUV exposure system and discusses printing results since user commissioning shifts began in Q2 2019.
Characterization of EUV image fading induced by overlay corrections using pattern shift response metrology
The effects of EUV scanner actuated overlay corrections on image fidelity are discussed. Intrafield overlay corrections are implemented by reticle and/or wafer stage modulations during the exposure scan, which may lead to stage desynchronizations. The impact of such a mismatch on imaging is comparable to stage vibrations, which contribute to image blurring commonly known as image fading. For this study, deliberate stage desynchronizations were introduced by means of an asymmetric image rotation and effects on image fidelity qualitatively evaluated by pattern shift response (PSR) metrology. The PSR targets studied are blossom-style marks with asymmetric petal designs that transform process variations to a virtual pattern shift, which can be measured by conventional image-based overlay metrology. Corroborating as well as quantitative results were acquired by analyses of line width roughness. It was found that stage desynchronizations induced by overlay corrections can significantly degrade image fidelity starting with increased line width roughness up to a total pattern failure of linewidths relevant to current and future technology nodes. PSR metrology shows excellent capabilities to characterize relative image fidelity as well as across slit distortions and is therefore a suitable monitoring technique for on-wafer performance.
OPC model building for EUV lithography
Benjamin C. P. Ho, Jonathan Doebler, Ardavan Niroomand
After an initial introduction into logic fabrication, EUV lithography is finally moving into memory fabrication, and now memory companies must untangle the complex physics required to create an aerial image with this next generation technology. The optical proximity correction (OPC) model must understand and compensate for new EUV phenomena, such as non-telecentric illumination induced shadowing, across-slit variation, optical flare, and reticle black border effects. This paper compares the EUV OPC Modeling flow with the DUV modeling flow and discusses the new challenges EUV presents to OPC Model building for high-volume manufacturing.
EUV Stochastic II and Future
icon_mobile_dropdown
Imec's defect reduction strategies for EUV single exposed 32nm pitch line and space patterns (Conference Presentation)
Philippe Foubert, Paulina Rincon
Implementation of extreme ultra violet lithography (EUVL) for printing features of dimensions around 32nm and below has faced multiple challenges that have been overcome mostly through tool and process engineering. Currently, the main concern for implementation of EUVL in high volume manufacturing is related to stochastic defects, such as line bridges or breaks, which may occur randomly and have an impact on device performance.1 Photon shot noise, in addition to the inherent process variability and material non-homogeneities can lead to defects on the final patterned structures. As the pitch and critical dimension (CD) approach 32nm pitch and below, the dependency of stochastics defects increases exponentially. This paper fits within imec’s EUV stochastics and defectivity program, which investigates the key parameters for the generation and mitigation of defects from both a theoretical and practical approach. Initially, a reference process has been established and a methodology has been developed to capture and characterize bridge-type defects after pattern transfer into relevant layers for metrology and applications. Optical inspection and scanning electron microscopy (SEM) review are used to collect information on the number and types of defects present over large patterned areas (in the order of cm2). With a well-defined inspection technique, experiments related to fundamentals as well as materials and processes are continuously run. In the past years, imec has been leading the fundamental work on stochastic defects.2,3 De Bisschop et al. identified the “defect cliff”, or the exponential dependency of defect density as a function of space CD for multiple parameters, such as resist formulation and exposure/imaging conditions. More recently, the focus has changed to the investigation of the “defect floor”, which as the name suggests, is a flat response of defects to CD. The defect floor suggests that defectivity cannot be further improved, making one of the most relevant problems to solve for implementation of EUV in high volume manufacturing (HVM). Our results show a decomposition of the potential contributors to explore the effect of processing conditions related to scanner, track and pattern transfer on final defect density for a chemically amplified resist and attempt a comparison to a metal-oxide resist. Goal is to understand the contributors to the defect floor and identify an effective route to avoid/minimize defect formation. References 1. Da Silva A., et al., “Fundamentals of resist stochastics effect for single-expose EUV patterning”, Proc. SPIE, Extreme Ultraviolet (EUV) Lithography X, Vol. 10957 (2019) 2. De Bisschop P., et al., “Impact of stochastic effects on EUV printability limits”, Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 904809 (2014) 3. De Bisschop, P., “Stochastic printing failures in extreme ultraviolet lithography”, JM3-Journal of Micro/Nanolithography, MEMS, AND MOEMS 17(4), (2018)
Stochastic defect generation in EUV lithography analyzed by spatially correlated probability model, reaction-limited and scattering-limited?
Stochastic pattern defects are becoming a major concern in the future EUV lithography as their probabilities exponentially increase with decreasing feature size and are highly sensitive to process/mask variations. We speculated that the defect generation closely relates to the spatial localization in three processes; (a) photon absorption, (b) secondary electron (SE) generation from one photon, and (c) catalytic reaction (for chemically amplified resists). We have previously modeled and analyzed stochastic defects based on two mechanisms: accidental connections of spot defects caused from inhomogeneous secondary electron generation (shot noise), and strings of SE generated along long trajectories of photoelectron (mechanism B). These two are extreme cases, however, and real situations are an interplay between these two. In this paper, we highlight the importance of correlation among reactions. Our fully coupled Monte Carlo simulation shows that reactions induced by a particular photon are spatially aggregated to form a cluster and the solubility of polymers within that cluster is governed by this photon when diffusion range ~ average distance between sites of non-correlated SE or acid generations (induced by independent photons). Here, we extend our previous probability models based on Monte Carlo results to include the correlation longer than polymer size by introducing a spatially correlated probability, which explains the impact of correlated reaction on defect generation. Additionally, we also account for the effects of quenchers and densely packed polymers. The model is quantitatively fit reported experimental results using material parameters within practical ranges, and the observed exponential dependences of defect probabilities on delineated feature sizes and on dose-to-sizes are reproduced. Predicted defect probabilities mainly depend on resist feature sizes, regardless of the resolution capability of imaging optics. Though reaction profiles (~spot defect probability profiles) are scaled with shrinking the target size by increasing NA, the area defect probability is obtained as a direct product of spot defect probabilities, which increases with decreasing defect area. To enhance mixing of non-correlated reactions and to decrease defect probabilities, we need to increase the volumetric density of photon absorption events or to increase a diffusion blur. However, the latter practically degrades image profiles and increases defect probabilities. Thus, reaction density required for flipping polymer/molecular solubility and exposure dosage need be increased as well as utilizing quenching effects, in particular when shrinking target size.
An analysis of EUV resist stochastic printing failures
M. I. Sanchez, G. M. Wallraff, N. Megiddo, et al.
A simple physicochemical description of stochastic printing failures is discussed. By combining this with combinatorial calculations of resist imaging chemistry and Monte Carlo analysis, estimates of the rates of random printing failures in nanoscale lithography can be made. This approach, based solely on component and photon statistics, provides results consistent with experimental reports. The method provides a general framework for predicting impacts of resist formulation, compositional and process changes on printing failures.
Approaches to modulating stochastic effects in EUV lithography (Conference Presentation)
Stochastic effects are a major concern for yield enablement as we look towards extending the use of single-expose EUV lithography in both trench and via levels. For example, in trench levels stochastic effects lead to two competing defect modes: line breaks and microbridges, both of which are highly CD-dependent and lead to patterning cliffs that narrow the process window as the pitch decreases. In order to push the limits of effective pitch resolution, a fundamental understanding of the patterning process space is required, including imaging and mask contributions, material contributions, as well as develop, and etch contributions. In this paper we will discuss several strategies to modulate and gain fundamental understanding of stochastic effects. In term of the illumination contribution, recently Burkhardt et al. investigated phase effect in EUV through simulation, demonstrating that the images shift caused by a focus shift in monopole illumination can be used to make split images overlap, thus enhancing the contrast in a dipole. We will show that this approach can be used to modulate stochastic effects, specifically defectivity and line edge roughness, and directly correlate these modulations to electrical yield detractors. We will also discuss alternate approaches to understand interfacial effects between the resist and underlying substrate that can impact stochastic defectivity.
The future of the electronics industry beyond 2D/3D scaling
The semiconductor industry has been and remains the engine of the electronics revolution that has completely change society for the better. 2D transistor performance and transistor count have steadily increased for the past 70 years. 2D “geometrical scaling” was the engine of the semiconductor industry until the end of the previous century. By that time some fundamental physical limits were reached and overcome by completely restructuring the transistor and replacing some of the original materials. This second era was named “equivalent scaling”. In this decade, memory technologies have already reached the cost effective limits of 2D scaling and have migrated to “3D power scaling”. Logic will transition to 3D structures and architectures in the near future. However, 3D power scaling will also reach practical topological limits in the next 15 years and it will be then necessary to implement computing techniques that operate with more than one bit in any physical location to support the 4th age of Moore’s Law. What can the electronics industry do to get ready for it?
Poster Session
icon_mobile_dropdown
Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement (Poster presentation)
Projection lithography using extreme ultra-violet (EUV) light at 13.5-nm wavelength will be applied to the production of integrated circuits below 7 nm design-rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen, and monitoring such defect generation probabilities in extremely low range (<10-10) is indispensable. Here, we discuss a new method for predicting stochastic defect probabilities from a histogram of feature sizes for patterns several orders of magnitude fewer than the number of features to inspect. Based on our previously introduced probabilistic model of stochastic pattern defect, the defect probability is expressed as product sum of the probability for edge position and the probability that film defect covers the area between edges, and we describe the later as a function of edge position. The defect probabilities in the order between 10-7 ~ 10-5 were predicted from 105 measurement data for real EUV exposed wafers, suggesting the effectiveness of the model and its potential for defect inspection.

The manuscript version of this Poster Presentation can be viewed in the Journal of Micro/Nanolithography, MEMS, and MOEMS Vol. 18 · No. 2: https://doi.org/10.1117/1.JMM.18.2.024002
Impact of EUV multilayer mask defects on imaging performance and its correction methods
It is of tremendous impact with multilayer defects, which are caused by particles, substrate pits or scratches, in EUV lithography for the high volume manufacturing. Multilayer defects suppress the productivity and utilization rate of the mask blank. In this paper, we did a thorough investigation by conducting imaging simulations on dense and semi-dense patterns including lines and contact holes. The impact of isolated multilayer defects on the imaging of 22nm half-pitch dense line/contact and 33nm half-pitch semi-dense line has been studied, and the CD errors are calculated. The CD error, caused by the planar defect which is smoothed out during the multilayer deposition process, is found to be within ±10% of target values. This CD error can be compensated by adjusting the exposure dose or local pattern size. In contrast, the non-planar defect, which is not being smoothed in the multilayer surfaces, would lead to severe damages to the lithography performance.
Resolution enhancement for lensless mask metrology with RESCAN
The EUV photomask is a key component of the lithography process for semiconductor manufacturing. A critical defect on the mask could be replicated on several wafers, causing a significant production yield reduction. For this reason, actinic patterned mask inspection is an important metrology component for EUV lithography. The RESCAN microscope is a lensless imaging platform dedicated to EUV mask defect inspection and metrology. The resolution of the tool is about 35 nm, which is similar to that of state-of-the-art EUV microscopes. To improve the resolution of RESCAN, we designed an upgraded optical layout for the illumination system and we developed a coherent diffraction imaging-compatible method to synthesize a custom pupil structure. This new scheme will enable a lensless EUV microscope with a resolution down to 20 nm and thereby allow mask review capabilities for future technology nodes with EUV lithography.
EUV metrology tools diversified from common building blocks and experience (Conference Presentation)
Rainer Lebert, Andreas Biermanns-Föth, Jennifer Arps, et al.
With EUV lithography inserted into the semiconductor production the characterization and control of critical components like blanks, masks, pellicles, optical elements, thin film windows and photoresist is required. Needed for the supply and process chains are on one hand “traditional”, e.g. mechanical, thermal or chemical tests with extended capabilities as well as “actinic” ones, which are directly linked to the interaction of EUV radiation with the sample. Actinic tests are needed to • measure optical properties in the spectral range which is relevant when the components are used, like reflectance, transmission, scatter • determine or apply modifications of material when irradiated with EUV, e. g. resist exposures, degradation tests for components • find or inspect defects and structures on optical components used in EUVL • Mimic processes in the EUV scanner for off-line optimization. For such actinic qualification in the individual industrial or research lab with 13.5 nm radiation only few metrology tools are readily available off-the-shelf. The industrial infrastructure for EUVL lab tools suffer from the fact that most of such tools are such specialized that only – at best – few of a kind are needed in the world, which does not deserve a classical product development. This analysis has driven our strategy for supporting our customers mainly with tailored “one of a kind” solutions, while still reaching product like reliability by frequent reusing of already existing building blocks, tested subunits and components and general approaches and architectures. The basis of this approach is on one hand our experience to serve our customers from “big science” worldwide with RI-engineered research installations since more than 30 year. On the other hand our EUV application experience is based on a broad source portfolio with stand-alone DPP and LPP sources for XUV together with an established supply chain in advanced EUV optics, detectors and spectral filtering. This allows us to use long experience in design, machining and assembly of ultra-clean components in ultra-high vacuum and cleanroom applications, including ultra-clean sample handling, loading and manipulation. Our stand-alone tools offer fully automated, fail safe electronic control including custom tailored data evaluation and reporting solutions. With the special demand from each new project, we are consolidating and expanding the knowledge base. Examples of such actinic tools for the EUVL infrastructure will be presented, as e.g. spectral reflectometers, full area inband EUV property mapping, EUV pellicle transmission and reflectance tools, microscope, defect inspection, nano-printing tools and sensitivity calibrated stand-alone resist exposers.
Measuring the Phase of EUV Photomasks
Stuart Sherwin, Isvar Cordova, Laura Waller, et al.
We demonstrate complementary reflectometry and scatterometry methods to measure the phase and amplitude of a patterned EUV photomask at its operating wavelength (13.5nm) and angle range (2 − 10°). We carried out experimental measurements at ALS Reflectometry and Scattering Beamline 6.3.2 on an EUV photomask with a 40-bilayer MoSi multilayer mirror and 60nm TaN absorber. We took three types of measurements: reflectometry for blank multilayer, reflectometry for blank absorber-coated multilayer, and scatterometry for line- space gratings. We used the reflectometry data to fit the Fresnel reflectance amplitude by adjusting the thickness, atomic density, and interface roughness of multilayer and absorber layers. We then fit the scatterometry data using a thin-mask approximation. The advantage of reflectometry is the higher level of model fidelity (2 − 4% vs 6% − 15% error), whereas the advantage of scatterometry is its direct sensitivity to relative phase through spatial interference. Despite differences between the two approaches, both gave similar phase values, mutually-consistent to within π/51 RMS. We observe the phase to vary from 0.78μ at 2° to 0.88μ at 10°, suggesting that engineering phase effects to improve image contrast will need to consider phase values across a range of illumination angles rather than simply the chief ray.
SEM AutoAnalysis for reduced turnaround time and to ensure repair quality of EUV photomasks
Kokila Egodage, Fan Tu, Horst Schneider, et al.
With the semiconductor industry tending towards adding multiple layers consisting of EUV technology in high-end manufacturing and the production of many EUV scanners to meet customer demands, novel approaches for EUV defect review are being readily investigated. The successor of the quasi industry standard AIMS and sole actinic defect review tool available currently is AIMS EUV. As the industry already introduced this newcomer in the manufacturing environment, other steps in the workflow were forced to adapt to the new technology. One example is the automated aerial image analysis process where the DUV aerial image analysis software, AIMS AutoAnalysis (AAA), was enhanced for the EUV solution in order to handle high resolution EUV images. This was a necessary step for full automation similar to the process achieved with AIMS and AAA. Another important domain in the back end of line is defect repair where the e-beam based repair tool MeRiT is also the quasi standard in the mask manufacturing industry especially for high-end photomasks. After undergoing changes to keep up with shrinking feature sizes and complex repairs MeRiT tools were able to overcome these challenges and fulfill the current industry demands and expectations. For mask makers timely supply of error free high-quality masks is of the essence which can be further ensured by introducing a higher level of automation to the repair workflow. Following a similar approach to the optical counterpart, a digital solution known as SEM AutoAnalysis (SAA) has been developed. With SAA, a quick and fully automated SEM image-based quality assessment after a repair of a photomask is readily achievable. Moreover, the repair technicians benefit vastly by having the complete repair history of a defect for their decision-making process which would lead to a reduction of the turnaround time. As a consequence, unnecessary time wastes during mask un/loading cycles can be avoided. The myriad data produced in the BEOL, originating from different modalities, can be converted to meaningful information with the help of automation enabling technicians to make better decisions, reducing the risk of mishaps, improve repair quality and reliability of processes in general. Since mask defects that go through each tool are the same, data produced by different tools should retain that common denominator for an efficient assessment. This assessment needs to be applied to the areas of different modalities where a comparison is possible that led to the investigations to test the feasibility of combining SEM and EUV data. A comparison of SAA results with AIMS EUV measurements analyzed with AAA on the same photomask and defects are presented along with this proceeding. The results show that SAA can provide a valuable preliminary assessment of photomask repairs. Nevertheless, due to the nature of SEM based analysis, AIMS EUV technology remains mandatory for a final mask repair qualification and a complete specification check, i.e. mask repair verification. The outcome of this investigation paves the way towards a fully automated BEOL where different workflows and data originating from several tools in the mask shop can be interconnected and controlled.
Industrial photoresist development with the EUV laboratory exposure tool: mask fabrication, sensitivity and contrast
Sascha Brose, Serhiy Danylyuk, Franziska Grüneberger, et al.
Main application of the EUV laboratory exposure tool (EUV-LET) is the large-area patterning (cm2) of periodic nanostructures with half-pitches below 100 nm. Applications are found in various research fields (e.g. diffractive optical elements, anti-reflective coatings, artificial crystals, nanowires, biosensors) as well as in prototype development and small-batch production. Recently the working wavelength of the tool was changed from 10.9 nm to 13.5 nm in order to enable industrial photoresist development and resist characterization. In collaboration with the resist supplier Allresist GmbH we demonstrate how EUV photoresists can be effectively developed using a compact laboratory setup for rapid characterization of different resist formulations and development conditions. The collaboration provides a platform for identifying the needs of the industry and proves that the EUV-LET in research configuration can fulfill this challenging task. First part of this contribution focuses on the fabrication of multi-field resolution test masks. The extension of the developed phase-shifting mask fabrication process to line structures leads to several technological challenges that will be pointed out. Furthermore we present first contrast and sensitivity investigations for several resist formulations that will serve as a basis for upcoming resolution tests.
Precision fabrication of EUVL programmed defects with helium ion beam lithography
Chien-Lin Lee, Jia-Syun Cai, Sheng-Wei Chien, et al.
The availability of metrology solutions, one of the critical factors to drive leading-edge semiconductor devices and processes, can be confronted with difficulties in the advanced nodes. For developing new metrology solutions, highquality test structures fabricated at specific sizes are needed. Electron-beam direct-write lithography has been utilized to manufacture such samples. However, it can encounter significant-resolution difficulties and require complicated process optimization in sub-10-nm nodes. This study investigates the feasibility and patterning control of metrology test structure fabrication by helium-ion-beam direct-write lithography (HIBDWL). Features down to IRDS 1.5-nm node are resolvable without needing any resolution enhancement technique from the lithography simulation. Further, patterns beyond 1.5-nm node can be achievable with the help of proximity effect correction technique. Preliminary results of simulation demonstrate that HIBDWL can be a promising alternative for fabricating programmed defects (PDs) and test structure to develop advanced metrology solutions in sub-7-nm nodes.
Study of RLS trade-off mitigation utilizing a novel negative chemically amplified resist for high resolution patterning
Satoshi Enomoto, Kohei Machida, Michiya Naito, et al.
The acid diffusion in chemically amplified resists (CARs) which are a current standard resist for semiconductor device manufacturing is a significant concern in the development of highly resolving resists. Thus, high resolution CARs are reduced the number of the acid catalytic reaction per acid by high amount of acid quencher to suppressed acid diffusion blur for remaining low line width roughness (LWR) number. Non-chemically amplified resists (non-CARs) are generally lower LWR than CARs. However, non-CARs are generally less sensitive to radiation than CARs due to lack of amplification mechanism. Recently, we proposed a negative-type resist utilizing non-CAR and CAR reactions on the same platform. This resist use radiation induced non-catalitic reactions which are polarity change of onium decomposition and radical crosslinking of radiation decomposed monomers. And also, the resist uses an acid catalytic etherification utilizing diphenyl methanol derivative and aliphatic alcohol. These combination reaction by non-CARs and CAR are expected to contribute the sensitivity improvement for high resolution resist. The synthesized resists were composed of triarylsulfonium cations as a polarity changer and radical generator, 2,2,2-trisubstituted acetophenone as a radical generator, triphenyl(4-vinylphenyl)stannane (TPSnSt) as an EUV absorption enhancer and a quencher, and 4- [(2,4-Dimethoxyphenyl)hydroxymethyl]phenylmethacrylate (ARMA) as a polymer-bound acid-reactive unit. As the result, a 25 nm HP pattern could be obtained with 2.1 nm LWR and at 160 μC/cm2.
Alkyltin Keggin clusters as EUVL photoresist technology
Extreme ultraviolet lithography is the newest technique to keep up with Moore’s law and create smaller integrated circuit feature sizes. However, novel photoresist materials must be used in order to withstand the high energy beam (λ=13.5nm). Metal-oxo clusters have been proposed as one photoresist solution, and specifically the most promising is a sodiumcentered tin-Keggin cluster. A simple one-step synthesis was developed to produce a Na-Sn Keggin cluster, without the need for heating, filtration, or recrystallization. However, the product was a mixture of the β-isomer (β-NaSn12) and the γ- isomer (γ-NaSn12), which share the formula [(MeSn)12(NaO4)(OCH3)12(O)4(OH)8]1+. For fundamental studies on the lithographic mechanisms occurring during exposure to be successful, a pure and stable isomer is desired. Computational modeling was recruited to determine the ground state energy of all five uncapped isomers in this Na-Sn Keggin system. Additionally, the inclusion of one or two tin atoms to the uncapped structure, called capping, altered which isomers were stabilized. Computations were also employed to evaluate the influence of this capping strategy for the single-capped β- isomer (β-NaSn13), the single-capped α-isomer (α-NaSn13), the single-capped γ-isomer (γ-NaSn13), and the doublecapped γ-isomer (γ-NaSn14). Density functional theory (DFT) was used to obtain the hydrolysis Gibbs free energy and HOMO-LUMO gap, which led to the stability ranking: β-NaSn12 < γ-NaSn12 < α-NaSn12 < δ-NaSn12 < ε-NaSn12 for uncapped clusters, which was consistent which experimental observations. The uncapped isomers were computationally evaluated to be more stable than their respective single-capped analogues. However, the double-capped γ-NaSn14 was more stable than either the uncapped or single-capped clusters. Therefore, capping has shown to be a useful tool in exploring the stability landscape of these Keggin clusters to promote a pure and stable material for the next generation EUV lithography photoresists. And noteworthy, this sodium-centered tin-Keggin ion represents the only Keggin ion family so far, that favors the isomers of lower symmetry.
Update of the development progress of the high power LPP-EUV light source using a magnetic field
Yuta Takashima, Yoshifumi Ueno, Takayuki Yabu, et al.
Gigaphoton Inc. has been developing a CO2-Sn-LPP (LPP: Laser Produced Plasma) extreme ultraviolet (EUV) light source system for high-volume manufacturing (HVM) semiconductor lithography. Key components of the source include a high-power CO2 laser with 15 ns pulse duration and 100 kHz repetition frequency, a solid-state pre-pulse laser with 10 ps pulse duration and a magnetic field debris mitigation system. To achieve 330 W with long collector mirror lifetime and stable output, we improved the performance of key system components; especially, the laser beam quality at 26 kW CO2 laser output power by upgrading the CO2 laser beam transfer system, the conversion efficiency (CE) by the optimization of plasma-related parameters to now 6 %, the dose stability and suppression of small Tin (Sn) debris by upgrading the shooting control system, the collector mirror degradation rate by the optimization of H2 flow condition and changes in the EUV chamber structure. This paper presents the key technology update of our EUV light source.
New coater/developer technologies for CD control and defectivity reduction towards 5 nm and smaller nodes
Extreme ultraviolet (EUV) lithography is now being introduced for the mass production of 7 nm process. In order to meet process requirements for 7 nm node, continuous work on coater/developer has been done to improve CD uniformity and defectivity. However, further improvements are still required especially for 5 nm or smaller nodes because of the increasing impact of stochastic failures. 2 The probability of such failures quickly increases with CD size, resulting in a very narrow defect process window. Therefore, strict control of CD is getting crucial to ensure stable yield in the future nodes. In this paper, optimization of processes has been explored to improve not only local CD variations, but also wafer uniformity and stability across batch processing. We will also present our latest technologies for the reduction of in-film particles in coated films and the optimization of development/rinse process for the reduction of residues and collapses.
Validation of optical constants in the EUV spectral range
Q. Saadeh, V. Soltwisch, P. Naujok, et al.
After the introduction to high volume manufacturing, continuous development of EUVL systems and components will require the use of novel engineering materials, for example as absorber layer on next generation photomasks. In the EUV or soft X-ray spectral range the optical parameters of many materials are often not well known or based on theoretical calculations and interpolations. Thus, highly accurate measurements of the optical constants of thin layers obtained from different material compositions are necessary for the realistic modelling of new photomasks designs. Reflectometry is a widely used method for the determination of film thickness, especially in the X-ray spectral range. The same approach can also be used in EUV with a different focus. The aim is then to reconstruct the optical material parameters, the real and imaginary part of the refractive index, from the reflectivity measurements with a well-defined layer thickness. In this study, we will present the feasibility of determining the optical constants for candidate materials for EUV photomask absorbers using EUV reflectometry.
Pattern dependent distortion and temperature variation in EUV mask
Chung-Hyun Ban, Eun-Sang Park, Ui-Jeong Ha, et al.
Extreme ultraviolet lithography (EUVL) uses reflective optics due to the high absorption of EUV sources, and EUV masks consist of multiple layers of composites to increase reflectance. As repeated exposure proceeded, heat accumulation due to energy absorption and resulting heat deformation were observed in each layer constituting the EUV mask. In particular, the absorber is made of a material with high absorption rate, so the temperature accumulation and deformation are different depending on the part with and without the absorber. This means that thermal distortion can cause mask overlay and local critical dimension uniformity (LCDU) problems, resulting in lower process yields. In this paper, we will examine the temperature accumulation and heat deformation depending on the presence of absorber when electrostatic chuck (ESC) and hydrogen cooling are applied.
A method for compensating lithographic influence of EUV mask blank defects by an advanced genetic algorithm
Mask defectivity is a critical challenge to the high-volume production of extreme ultraviolet lithography (EUVL). In a similar way to the optical proximity correction (OPC), mask absorber pattern optimization could weaken the impact of defect on lithography. In order to compensate the amplitude and phase impact caused by the defects on the EUV mask blank, an advanced evolution strategy based on genetic algorithm (GA) combining with manufacturing rule check (MRC) is proposed to optimize the mask pattern. The influences of various defects on lithography are firstly summarized from mass simulation results, as well a novel method based on GA is proposed to compensate the negative impact by defects. Finally, the advantages of the proposed method in convergence efficiency and robustness are validated through comparing with differential evolution (DE) and original GA with simulations on contact patterns and logic patterns with the lithography simulator Sentaurus Lithography (Slitho).
Impact of mask topography and flare on process window of EUV lithography
Mask three-dimensional effect (M3D) and flare are the critical issues for lithography in advanced technology nodes, especially for the extreme ultraviolet lithography (EUVL). The M3D effect leads to a shrinkage of critical dimension (CD) and the flare causes the unwanted background exposure. To evaluate impact of these two effects on EUVL performances, the process windows (PWs) of various test patterns under nominal condition are firstly simulated. And then an optimal source is selected by comparing PW values. At last, M3D is introduced by considering absorber thickness, and the flare is introduced by adding a constant distribution across the exposure field. All simulations are implemented by employing SLitho, a commercial software from Synopsys. The test patterns in simulations include line space, tip2tip and tip2line patterns, and the gaps of tip2tip and tip2line are 40, 45 and 50nm. The results of simulation show that mask topography will reduce the DOFs of test patterns, and constant flare has almost no effect on the DOFs of many test patterns.
Laboratory-based EUV spectroscopy for the characterization of thin films, membranes and nanostructured surfaces
Lukas Bahrenberg, Sven Glabisch, Moein Ghafoori, et al.
The authors present studies on laboratory-based spectroscopy in the extreme ultraviolet (EUV) performed on a variety of thin film materials. This work focuses on spectroscopic measurements of EUV reflectivity and transmittance at wavelengths between 10 nm and 15 nm. The presented applications of the technique include the reconstruction of optical constants for novel materials such as EUV photoresists and absorbers, the characterization of EUV pellicles and ultrathin layer systems as well as the characterization of nanostructured surfaces.
Through-pellicle inspection using EUV ptychography microscope (Conference Presentation)
Young Woong Kim, Dong Gon Woo, Yong Ju Jang, et al.
Extreme ultraviolet (EUV) lithography is going to be inserted into high volume manufacturing (HVM) of 7 nm technology node device. However, the insertion of EUV pellicle is still a major issue. Since particles on the pellicle larger than a critical size can act as killing defects on the final wafer pattern, through-pellicle inspection has to be preceded before applying into the lithography process. Even though non-actinic techniques which use deep ultraviolet (DUV) or e-beam light source can be used for preliminary inspection, actinic technique is still indispensable for the precise aerial image review and defect detection. In this study, through-pellicle inspection was performed by using EUV scanning lensless imaging (ESLI), which has been developed as an actinic inspection technique. ESLI uses a coherent light source generated by high-order harmonic generation (HHG) and coherent diffraction imaging (CDI) method which reconstructs the object image using the diffracted light from EUV mask. We especially adopted ptychography for large area through-pellicle inspection. Ptychography, multi-shot CDI which uses a series of diffraction patterns with redundancy, enables more accurate object reconstruction compared to conventional CDI method. We imaged EUV mask which has 128 nm half-pitch (HP) line and space (L/S) patterns. Also, mask inspection performance of ESLI was verified by comparing the reconstructed imaging results with CD-SEM image results. We also assessed defect detectability of ESLI by defect mapping of pellicle with various size defect. Furthermore, through-pellicle EUV mask imaging was executed for the study about acceptable defect size on EUV pellicle. In conclusion, we confirmed the ESLI’s feasibility for EUV pellicle qualification and defect inspection.