Proceedings Volume 10957

Extreme Ultraviolet (EUV) Lithography X

cover
Proceedings Volume 10957

Extreme Ultraviolet (EUV) Lithography X

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 17 June 2019
Contents: 16 Sessions, 54 Papers, 33 Presentations
Conference: SPIE Advanced Lithography 2019
Volume Number: 10957

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10957
  • AL19 Plenary Session
  • Keynote Session
  • The Future is High NA
  • Inorganic Resists: Joint session with conferences 10960 and 10957
  • Stochastics and Exposure Mechanisms: Joint session with conferences 10960 and 10957
  • Order from Chaos: Stochastic Modeling
  • EUV Mask Fidelity
  • Printing at the Edge: EUV Patterning Applications
  • EUV Patterning and Etch: Joint session with conferences 10957 and 10963
  • EUV Masks, Defects, and Pellicles
  • EUV Imaging Enhancement I
  • EUV Imaging Enhancement II
  • Progress in EUV Sources
  • EUV OPC and Modeling: Joint session with conferences 10957 and 10962
  • Poster Session
Front Matter: Volume 10957
icon_mobile_dropdown
Front Matter: Volume 10957
This PDF file contains the front matter associated with SPIE Proceedings Volume 10957, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.
AL19 Plenary Session
icon_mobile_dropdown
The Future is Quantum
Some of the most important technical advances of the 20th century were enabled by decades of fundamental scientific exploration, whose initial purpose was simply to extend human understanding. This era marked the creation and widespread usage of “classical” computers, which represent information as bits – 0 and 1. Despite the continued computational advances we have experienced over the past century, there are still many important and relevant problems that “classical” computers cannot solve.
We are witnessing rapid progress in a new computing paradigm – Quantum Computing. Quantum computing takes advantage of the laws of quantum mechanics found in nature and represents a fundamental change from classical information processing. Two properties of quantum behavior – superposition and entanglement – may allow quantum computers to one day address problems intractable for today’s conventional classical machines.
During this talk, Dr. Gil will give an overview of quantum computing, what it means for the future of technology, and how we can separate hype from reality.
Keynote Session
icon_mobile_dropdown
EUVL: the natural evolution of optical microlithography
EUV Lithography is ready for High Volume Production, further enabling the printing of ever smaller features. In this keynote, the author will briefly reflect on evolution and future of digital technologies. An overview of the development and major milestones of Lithography lenses – with aberrations being a main focus – from the mid 1990 to today will be presented. Other aspects – like mask 3D effects, Source Mask Optimization, High NA EUV and Stochastic will be discussed.
EUV insertion strategy into logic technology on the horizon of scaling paradigm change
Under the growing concern on the cost and complexity of pitch-only scaling, scaling paradigm in logic technology is changing with adoption of design technology co-optimization (DTCO) and system technology co-optimization (STCO). On this landscape of rapid technology evolution, Extreme Ultraviolet Lithography (EUVL) faces its insertion into high volume manufacturing (HVM) from 2019 with shift on focus from infrastructural readiness to high volume manufacturability. In this presentation, EUV insertion strategy into the logic technology nodes will be discussed on the horizon of current and up-coming industry technology nodes. With remaining technical challenges in EUV across mask, patterning, computational lithography and design, it will be discussed how EUV can be coupled with other technological considerations to enable smooth adoption.
The Future is High NA
icon_mobile_dropdown
High-NA EUV lithography exposure tool progress
Jan van Schoot, Eelco van Setten, Kars Troost, et al.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.
Overview and status of the 0.5NA EUV microfield exposure tool at Berkeley Lab
Christopher Anderson, Arnaud Allezy, Weilun Chao, et al.
A 0.5-NA extreme ultraviolet micro-field exposure tool has been installed and commissioned at beamline 12.0.1.4 of the Advanced Light Source synchrotron facility at Lawrence Berkeley National Laboratory. Commissioning has demonstrated a patterning resolution of 13 nm half-pitch with annular 0.35 – 0.55 illumination; a patterning resolution of 8 nm half-pitch with annular 0.1 – 0.2 illumination; critical dimension (CD) uniformity of 0.7 nm 1σ on 16 nm nominal CD across 80% of the 200 um x 30 um aberration corrected field of view; aerial image vibration relative to the wafer of 0.75 nn RMS and focus control and focus stepping better than 15 nm.
High NA EUV lithography: Next step in EUV imaging
Eelco van Setten, Gerardo Bottiglieri, John McNamara, et al.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
Progress in EUV resists towards high-NA EUV lithography
Xiaolong Wang, Zuhal Tasdemir, Iacopo Mochi, et al.
High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-7 nm nodes for the semiconductor industry. One of the critical challenges is to develop suitable EUV resists at high resolution with high sensitivity and low line-edge roughness (LER). The resist performance is generally limited by the resolution-LER-sensitivity (RLS) tradeoff and it is critical to find new resists that have a performance beyond this tradeoff. EUV interference lithography (EUV-IL) is a powerful and efficient technique that can print high resolution: half pitch (HP) down to 6 nm nanostructures. In this work, we evaluate the performance of the EUV resists, including molecular resist, inorganic resist, chemically-amplified (CAR) and metal sensitizer chemically-amplified resist (Metal-CAR). Six resists with the best performance have been compared in dose-to-size, line-edge roughness, exposure latitude for half pitch 16 nm and 14 nm. The molecular resist A showed lowest dose to resolve HP 16 nm (35 mJ/cm2) and 14 nm (41 mJ/cm2) but with high line edge roughness (LER 3.5 nm). CAR resist C provided lowest LER 1.9 and 1.8 nm for HP 16 nm and HP 14 nm, respectively, but with higher doses 74 mJ/cm2 (HP 16 nm) and 69 mJ/cm2 (HP 14 nm). The inorganic resist showed comprehensive good performance, giving low LER of 2.1 nm with 50 mJ/cm2 and 42 mJ/cm2 for HP 16 nm and HP 14 nm, respectively. Using the simplified Z-factor model, we showed that the LER of the resists was improved over the last two years. As the inorganic resist could resolve HP 11 nm with dose 67 mJ/cm2, we conclude it to be the current best candidate to partially resolve the RLS tradeoff problem and could be the potential EUV resist for semiconductor technological node printing.
Inorganic Resists: Joint session with conferences 10960 and 10957
icon_mobile_dropdown
The role of the organic shell in hybrid molecular materials for EUV lithography
Lianjia Wu, Michaela Vockenhuber, Yasin Ekinci, et al.
As EUV lithography is being introduced into the market, the need for resists that can satisfy the exigent requirements of sensitivity, resolution, and line-edge roughness requires the development of guidelines for new generations of materials. In this work, we aim for gaining more understanding on the contribution of the organic components in inorganic-organic hybrid molecular resists to their sensitivity. A method to investigate the effect of specific functionalities on the sensitivity of metal oxo clusters is presented, which consists in the "doping" of the methacrylate shell through the introduction of fluorinated, iodinated and extended aromatic ligands. By introducing each of these functionalities in small ratios to the methacrylate ligand (1:11 and 2:10) the main chemical properties of the materials (solubility and molecular packing in the thin film) are expected to be unaltered. Our investigations show that the sensitivity is enhanced in the presence of halogens and drastically decreased when extended aromatic compounds are introduced. The trends are uncorrelated with the absorptivity estimated for each material, which seems to indicate that the doping functionalities might interfere with the cross-linking of the methacrylate ligands or introduce new chemical pathways. Our results indicate that specific organic functionalities can be used to tune the reactivity of hybrid compounds for EUV light by introducing them in doping amounts, thus preserving the processability of the initial material.
Analysis of line-and-space patterns of ZrO2 nanoparticle resist on the basis of EUV sensitization mechanism
Metal oxide nanoparticle resists are promising materials for highly-resolving high-throughput patterning. However, their performance is still inadequate for the application to the production of semiconductor devices. In this study, the dependence of the relationship between chemical gradient and line width roughness (LWR) on the pattern duty, acid generator, and developer was investigated using a zirconia (ZrO2) nanoparticle resist. The line-and-space patterns of ZrO2 nanoparticle resists were analyzed on the basis of the EUV sensitization mechanism. LWR was roughly inversely proportional to the chemical gradient. The proportionality constant decreased with the increase of the ratio of nominal space width to the nominal line width. The proportionality constant for n-butyl acetate was smaller than that for an alternative developer with a high polarity. The proportionality constant decreased by the addition of an acid generator. The improvement of dissolution process and the suppression of secondary electron migration are essential to the suppression of LWR in the ZrO2 nanoparticle resist.
Zinc-based metal oxoclusters: towards enhanced EUV absorptivity
Neha Thakur, Michaela Vockenhuber, Yasin Ekinci, et al.
The cost-effectiveness and future progress of EUV (13.5 nm) lithography will largely depend on the resist technology development. Hybrid inorganic-organic photoresists have emerged as promising materials of EUV and have gained increasing attention in recent years. A crucial aspect for hybrid materials is their stability and the preservation of their molecular integrity when deposited as thin films. In this work, we study novel zinc (Zn) oxoclusters as molecular hybrid photoresists. Our aim is to combine the reactivity of methacrylate organic ligands with an inorganic cluster with high EUV photon absorption cross-section arising from this metal. To gain understanding on the shelf life of this material, changes in their structure under different conditions were monitored employing a combination of different spectroscopic techniques. The sensitivity of these oxoclusters under EUV light was investigated and their patterning performance is evaluated using EUV interference lithography. Our results indicate that these novel Zn-based oxoclusters are promising candidates for EUV lithography owing to their high dose sensitivity. Nevertheless, the labile nature of the methacrylate ligands has an important impact in the development process which seems to hamper their reproducibility. This work contributes to the understanding of the pitfalls and advantages of hybrid molecular resists.
Stochastics and Exposure Mechanisms: Joint session with conferences 10960 and 10957
icon_mobile_dropdown
Stochastic printing failures in EUV lithography
This paper continues our work on what we call stochastic printing failures, i.e. random, non-repeating, isolated defects which we believe to be the result of the particle nature of light and matter, and of the probabilistic nature of their behavior and interactions. Examples of such failures are microbridges, locally broken lines and missing or merging contacts. The probability of such stochastic failures increases rapidly when a dimension in the pattern becomes very “small”. We refer to this rapid increase as the “stochastic cliffs”. In this paper we continue our exploration of the metrology for accurate mapping of these stochastic cliffs, for the case of simple L/S and contact-array patterns. We do this using a combination of CD-SEM , e-Beam Inspection (eBI) and Optical Defect Inspection measurements, according to the need and applicability. We will also show that away from the Cliffs we (sometimes) find a “Stochastic Floor”, i.e. a constant level of stochastic failures of a given type. Next to failures counting, we introduce the size distribution of microbridges as a complementary metric. The examples given in this paper aim to clarify what the “stochastic landscape” can look like. Such measurements identify which failure types are the potential yield limiters of the intended application, and at which structures (pitches) and CDs they occur.
Fundamentals of resist stochastics effect for single-expose EUV patterning
As the industry looks to extend single-expose extreme ultraviolet (EUV) lithography, stochastic effects become a significant concern to enable yield, for both trench and via levels. Multiple previously-published reports have shown a strong tradeoff between resist sensitivity and observed stochastic defectivity. However, the limits of this trade-off between improving stochastics-related defects with a higher dose resist remains to be understood. How strongly does the resist formulation itself contribute to stochastics, or is it a purely dosedriven effect? In this paper, we perform a systematic evaluations of resist component variants to determine the relative effects of photon shot noise induced stochastics vs material stochastics. Material contributions are probed through formulation component and reaction kinetics changes. The defectivity at post-exposure and post-etch are correlated to electrical yield to validate the evaluation. The effect of material and dose contribution to defectivity process window enables to highlight the significant and challenging task of addressing material stochastics that can be convoluted with photon shot noise.
Order from Chaos: Stochastic Modeling
icon_mobile_dropdown
Impact of asymmetrically localized and cascading secondary electron generation on stochastic defects in EUV lithography
In applying EUV lithography to 5-nm technology node and beyond, stochastic defect issues have arisen, seriously affecting manufacturing yield and/or limiting applicable minimum device sizes. To develop materials/processes with suppressing such defects and to bring them under control, we discuss a probabilistic model for stochastic defect generation processes. To deal with extremely low probability (typically 10-4~10-12) while being based on physics and chemistry of resist exposure, our model combines Monte Carlo method with probabilistic models. We focus on two mechanisms as causes of stochastic defects, (A) accidental connections of photon shot noises enhanced by densely localized secondary electron (SE) generation and (B) cascading SE generations along photoelectron trajectories. Our analyses show significantly higher defect probabilities in EUV than in ArF and their strong dependences on patterns sizes and process conditions, which is attributed to a wider spatial inhomogeneity in SE generation. Material parameter optimization by combining the model with the multi-objective genetic algorithm shows a trilemma among defect probability, target size, and required exposure dosage to size. It also shows necessity of scaling material parameters with shrinking target design rules. Guidelines for defect suppression are also suggested.
Impact of local variability on defect-aware process windows
CD-based process windows have been an analysis workhorse for estimating and comparing the robustness of semiconductor microlithography processes for more than 30 years. While tolerances for variation of CD are decreasing in step with the target CD size, the acceptable number of printed defects has remained flat (Hint: Zero) as the number of features increases quadratically. This disconnect between two key process estimators, CD variability and defect rate, must be addressed. At nodes that require EUV lithography, estimating the printed defects based solely on a Mean CD (“Critical Dimension”) process window is no longer predictive. The variability / distribution of the printed CDs must be engineered so that there are no failures amongst the billions of instances, rendering the Mean CD, often measured on just hundreds or thousands of instances, a poor predictor for outliers. A “defect-aware” process window, where the count of printed defects is considered in combination with more advanced statistical analysis of measured CD distributions can provide the needed predictability to determine whether a process is capable of sufficient robustness. Determining process robustness where stochastics and defects are taken into account can be simplified by determining the CD process margin. In this work we study dense contact hole arrays exposed with 0.33NA single exposure EUV lithography after both the lithography and etch steps. We describe a methodology for expanding the analysis of process windows to include more than the mean and 3σ of the data. We consider the skew and kurtosis of the distribution of measured CD results per focus-exposure condition and compare / correlate the measured CD process window results to the CD process margin.
Unraveling the EUV photoresist reactions: which, how much, and how do they relate to printing performance
The interaction of 91.6eV EUV photons with photoresist is very different than in optical lithography at DUV wavelength. The latter is understood well, and it is known that photons interact with the resist on molecular level: e.g. for chemically amplified resist (CAR) the photon interacts with the photo acid generator (PAG), which leads to a deprotection reaction on a polymer. At EUV however, the high energy photons interact with the matter on the atomic scale, resulting in the generation of secondary electrons, which in turn induce chemical modification at lower energies. Although the complex detailed EUV mechanisms that lead to a chemical reaction in CAR are still not fully understood, we have developed and optimized an alternative technique to measure the reactions. The technique is based on Residual Gas Analysis (RGA) and enables to reveal the chemical identity of outgassed reaction products, therefore it can give information on the ongoing reactions during EUV exposure. In this work, we used this technique in a feasibility study to investigate how the balance of CAR resist reactions related to solubility and insolubility can impact the resist stochastic failure. This has first been applied on a model resist to characterize what the relevant reactions are for the solubility of the CAR. The method enabled to quantify the CAR acid yield and the (EUV specific) reactions that can lead to insolubility as function of dose. Based on the understanding of the ongoing reactions, the methodology has been applied on a family of resists – centered on a commercial resist – formulated on one polymer type but with different PAG/quencher. All these materials have been tested towards the balance of solubility reactions as function of dose and towards stochastic failures. A tentative correlation was found between the measurement of the stochastic failures and a semi-empirical equation of the RGA based resist parameters related to solubility.
OPC strategies to reduce failure rates with rigorous resist model stochastic simulations in EUVL
Alessandro Vaglio Pret, Trey Graves, David Blankenship, et al.
Stochastic effects are the ultimate limiters of optical lithography and are a major concern for next-generation technology nodes. In previous work, we compared the performance of several types of EUV resists on dense patterns or brightfield mask SRAM cells across technology nodes. It was shown that due to low photon/chemical shot noise and reduced blur, metal-oxide resists could potentially reduce lithography failures at the 5nm technology node though even at 7nm technology node failures may be noticeable if process variations are considered. Following up on work published the last three years, in this paper we study how different OPC strategies and photoresist properties might affect failure rates for a darkfield mask SRAM cell at the 5nm technology node. Four cases are considered: • Aerial image optimization by mask biasing; stochastic simulations are performed with an organic chemically amplified resist model. • Aerial image optimization by model-based OPC; stochastic simulations are performed with an organic chemically amplified and a metal-oxide resist model. • Aerial image model-based OPC enhanced by rigorous stochastic modeling; stochastic simulations are performed with an organic chemically amplified resist model. In all cases, a numerical aperture of 0.33 is used. Process windows are generated averaging ~2150 (3.5σ) stochastic simulations for each focus-dose combination, while best focus-dose target CDs are found by analyzing failure rates across focus and dose. Roughly 1.8 million (5σ) trials are then run at best condition for all cases to quantify part per million failures.
EUV Mask Fidelity
icon_mobile_dropdown
Towards ultimate image placement accuracy for EUV mask writing with pattern shift process
The lack of defect-free EUV photomask blanks is one of the multiple challenges in the application of EUV lithography for high volume wafer manufacturing. In EUV photomask manufacturing, shifting the design before writing to avoid patterning over blank defects (pattern shift process) is one of the methods for defect mitigation. A reliable pattern shift process depends upon precise image placement during EUV mask writing. Specifically, accurate determinations of centrality, mean shift distances and residual image placement (IP) errors (3σ) are required and reports describing pattern shift processes1-8 echo this importance of accurate IP during EUV photomask writing. The pattern shift process detailed in this report improves IP accuracy for EUV photomasks aligned on fiducial marks (FM) and increases the budget of potential pattern shifts, while remaining within the mask centrality specification limits. Our process is demonstrated on EUV products where <5 nm 3σ of uncorrected IP error for aligned patterns was achieved.
EUV mask challenges and requirements for ultimate single exposure interconnects
Chris Progler, Michael Green, Ravi Bonam, et al.
Extreme ultraviolet lithography (EUVL) is entering an industry production phase for 7nm logic and is under development for next node logic and memory applications. A key benefit of EUVL for logic interconnect lithography comes from the ability to pattern the metal layer at aggressive pitch using a single exposure. We report here a mask process compatible with a 30nm pitch patterning module for the demanding sub 7nm node, single expose interconnect application. We found a large increase in mask to wafer image transfer sensitivity during the 32nm to 30nm pitch shrink development that led to increases in stochastic and systematic wafer defect generation mechanisms. In this work, we describe our steps to characterize, model and improve the mask related factors that reduce this sensitivity as part of a successful 30nm pitch patterning module demonstration. High resolution wide area electron beam mask inspection alongside a suite of advanced mask characterization and optimization(AMCO)tools were key elements in understanding mask process gaps and improvement opportunities. Critical mask parameters optimized in closed loop with wafer response included two and three dimensional pattern fidelity, line roughness and spatial variability. Mask critical dimension targeting was found to be a critical factor for delivering the yielding 30nm pitch wafer process and this targeting was tuned dynamically through mask and wafer co-optimization. Finally, the role of wafer anchored process simulation proved an invaluable guide for linking various mask error source mechanisms to the wafer response.
Influence of mask line width roughness on programmed pattern defect printability
Programmed defect masks (PDMs) of a tantalum-based absorber were fabricated by a conventional and improved process that decreased the mask line width roughness (LWR). The improved mask LWR decreased the minimum size of recognizable defects from 18.6 nm to 10.9 nm. The PDMs were printed on wafers and their defect printabilities were compared. The correlation coefficients of the relationship between the mask defect size and deviation of wafer critical dimension (CD) caused by the defects were calculated. A significance test of the correlation coefficients of the PDMs produced by the conventional and improved process indicated there was no significant difference between them. This means that the mask LWR did not have a significant influence on defect printability.
Impact of EUV absorber variations on wafer patterning
Lawrence S. Melvin III, Yudhishthir Kandel, Tim Fühner, et al.
Mask absorber variations are known to impact wafer imaging. To understand these impacts, absorber variations around SRAF and line-end features are studied on both bright and dark field masks. The primary areas of investigation are SRAF absorber thickness and sidewall angle variation. The working hypothesis was that these two variations are most prevalent in EUV mask absorber processing and could limit EUV imaging. In addition, this study will investigate whether Optical Proximity Correction (OPC) and can compensate for absorber thickness and sidewall variations. AFM data were collected to identify whether qualitative variations between SRAF and main features in the mask absorber were present. Simulations were deployed to quantify the response of wafer images to mask absorber variations. The study found sensitivity to SRAF SWA and thickness variations in the dark field and bright field cases. The study also found that OPC mitigates a large part of the mask SRAF shape variations, if the OPC model includes the quantified variation. Consequently, mask characterization and inclusion in OPC models is needed to reduce model errors.
Ion beam etching of new absorber materials for sub-5nm EUV masks
Narasimhan Srinivasan, Katrina Rook, Vincent Ip, et al.
For future nodes, TaN-based absorber layers on EUV mask-blanks, may need to be replaced with thinner layers of new material systems. Ni and Co based materials are promising material candidates owing to their high EUV absorption. Ion Beam Etching (IBE) is being explored as an option for patterning these metallic systems that are hard to etch by Reactive Ion Etch. In this work we expand our initial work on the IBE of Ni absorber films to include the role of etch beam energy and alternative etch-masks for both Ni and Co based films. We present experimental film level data such as etch uniformity, angular-dependent etch rates, and surface roughness. We extend the modeling of IBE of line-space patterns, to narrower line widths and various etch-mask materials vis-a-vis side wall angle and CD fidelity, both as a function of beam energy and angle of etch.
Printing at the Edge: EUV Patterning Applications
icon_mobile_dropdown
Printability study of EUV double patterning for CMOS metal layers
The impending introduction of EUV lithography into high volume manufacturing at the 7 nm CMOS technology node promises the fulfilment of more than three decades of research and development. However, printing defect-free photoresist features with k1 < 0.4 or line-space pitch < 34 nm using 0.33 NA exposure tools is proving more challenging than originally anticipated. With the introduction timeline of 0.55 NA exposure tools currently unclear, it is necessary to develop EUV multiple patterning strategies for < 34 nm pitch metal layers which are needed to continue area scaling in future 5 nm and/or 3 nm technology nodes. Pursuing EUV-SADP strategies necessitates electrically undesirable dummification of metal wires and the employment of 2 additional masks for self-aligned cutting/blocking of wiring features which may prove cost prohibitive. Therefore, in this study we explore the printability in photoresist of two color EUV LELE or (litho-etch)2 patterns which may be further developed into self-aligned LELE patterning methods. We experimentally examine the impact of image and resist tonality on the printability of minimum line and space for metal wire features. We evaluate the printability of these features based on LCDU, LER, LWR and stochastics defects. Additionally, as EUV exposure time per mask is expected to be a major cost contributor, we quantitatively determine the impact of resist photo-speed on the printability of these two color LELE features.
LCDU optimization of STT-MRAM 50nm pitch MTJ pillars for process window improvement
Murat Pak, Davide Crotti, Farrukh Yasin, et al.
The read performance of a spin-transfer torque magnetic random-access memory device is based on the tunnel magnetoresistance of the magnetic tunnel junction cell, which is a function of the resistance values at low and high resistance states of the magnetic layers. To ensure a robust tunnel magnetoresistance value and high yield, magnetic tunnel junction pillar patterning process should have a good local critical dimension uniformity. In this paper, we screen several patterning techniques, such as dry development rinse material-based tone reversal besides the standard patterning, as well as different resists and underlayer materials to improve the local critical dimension uniformity at 50nm pitch extreme ultraviolet pillar printing. The results of the best litho process obtained show an improvement above 20% for the local critical dimension uniformity performance. The performance metrics such as the process windows analysis, pillar circularity and the critical dimension uniformity have also been checked for the promising litho process options. Moreover, the transfer of the post-litho improvements to the etch process have been checked and qualified after several layers of hardmask etch.
Impact of sequential infiltration synthesis (SIS) on roughness and stochastic nano-failures for EUVL patterning
Enhanced EUV lithography (EUVL) resist performance, combined with optimized post processing techniques, are vital to ensure continued scaling and meet the requirements for the industry N5 node and beyond. Sequential infiltration synthesis (SIS) is a post lithography technique that has the potential to significantly improve the EUVL patterning process for stochastic nano-failures and line roughness, both major topics in EUV lithography research. SIS is an ALD-like technique that infiltrates polymeric photoresists, forming a metal framework using the lithography pattern as a template. Hardening of the photoresist improves the pattern quality and gives more flexibility to subsequent pattern transfer steps. We have evaluated the performance of SIS for an EUV Chemically Amplified Resist (CAR) platform printing 32 nm pitch line/space patterns and ultimately structures that are representative of standard semiconductor manufacturing. A combined lithography-SIS-etch process and a standard lithography-etch process were optimized for an industry relevant stack with pattern transfer into a TiN layer. This allows for the first time a justified comparison between a EUVL-SIS and a standard EUVL patterning process, showing the benefits of SIS regarding roughness, exposure latitude and nano-failure mitigation. Power Spectral Density (PSD) analysis accurately demonstrates and explains the type of roughness improvement. Nano-failure analysis is done by measuring large areas at different exposure doses and shows the improvement of the nano-failure free window when applying a EUVL-SIS patterning process. We conclude by examining to which extent combining the best lithography process with an optimized SIS step will lead to a better roughness and nano-failure performance, essential to meeting industry requirements.
EUV Patterning and Etch: Joint session with conferences 10957 and 10963
icon_mobile_dropdown
Staggered pillar patterning using 0.33NA EUV lithography
Danilo De Simone, Romuald Blanc, Jeroen Van de Kerkhove, et al.
Extreme ultraviolet (EUV) materials are deemed as critical to enable and extend the EUV lithography technology. Currently both chemically amplified resist (CAR) and metal-oxide resist (MOR) platforms are candidates to print tight features on wafer, however patterning requirements, process tonality (positive or negative), illumination settings and reticle tonality (dark or bright) play a fundamental role on the material performance and in consequence on the material choice. In this work we focus on the patterning of staggered pillars using a single EUV exposure, and this by looking at the lithographic and etching performance of CAR and MOR platforms, using metrics as process window, local critical dimension uniformity (LCDU), pillar edge roughness (PER), pillar placement error (PPE) and (stochastic) nano-failures. As a bright field reticle shows a lower aerial image contrast to print pillars compared to the aerial image of contact holes using a dark field reticle, we also investigate alternative patterning solutions such as the tone reversal process (TRP) to pattern pillars from contact holes.
EUV Masks, Defects, and Pellicles
icon_mobile_dropdown
Advanced particle contamination control in EUV scanners
With the introduction of the NXE:3400B scanner, ASML has brought EUV to High-Volume Manufacturing (HVM). In this context, ASML is pursuing a dual-path approach towards zero reticle defectivity: EUV-compatible pellicle or zero particles towards reticle by advanced particle contamination control. This paper will focus on the latter approach of advanced particle contamination control and will show that we are able to reduce particle contamination towards reticle to a level that is compatible with HVM requirements for sub-10nm node lithography.
Upgrade to the SHARP EUV mask microscope
Markus Benk, Weilun Chao, Ryan Miyakawa, et al.
The Sharp High-NA Actinic Reticle review Project (SHARP) is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. A potential upgrade to the SHARP microscope is presented. The upgrade includes changing the light path in the instrument from its current off-axis configuration to an on-axis configuration. This change allows for an increased working distance of 2.5 mm or more. A central obscuration, added to the zoneplate aperture, blocks stray light from reaching the central part of the image, thus improving the image contrast. The imaging performance of the two configurations is evaluated by means of ray tracing.
Absorber and phase defect inspection on EUV reticles using RESCAN
Reliable photomask metrology is required to reduce the risk of yield loss in the semiconductor manufacturing process. Actinic pattern inspection (API) of EUV reticles is a challenging problem to tackle with a conventional approach. For this reason we developed an API platform based on coherent diffraction imaging. Aim: We want to verify the sensitivity of our platform to absorber and phase defects. Approach: We designed and manufactured two EUV mask samples with absorber and phase defects and we inspected them with RESCAN in die-to-database mode. Results: We reconstructed an image of an array of programmed absorber defects and we created a defect map of our sample. We inspected two programmed phase defect samples with buried structures of 3.5 nm and 7.8 nm height. Conclusions: We verified that RESCAN in its current configuration can detect absorber defects in random patterns and buried (phase) defects down to 50 × 50 nm2.
Actinic metrology platform for defect review and mask qualification: flexibility and performance
Renzo Capelli, Martin Dietzel, Dirk Hellweg, et al.
The strong effort to push further Moore’s law is driving the insertion of EUV pilot production at several captive and merchant semiconductor vendors, which already today puts strong demands on actinic tools metrology capabilities. The EUV mask infrastructure plays a central role for the successful introduction of EUV into high volume manufacturing: to provide the mask shop with actinic review capabilities, ZEISS and the SUNY Poly SEMATECH EUVL Mask Infrastructure consortium developed and launched an actinic metrology platform based on aerial imaging technology. Over the last few years, it was demonstrated how this aerial image metrology platform fulfills the mask shop requirements for actinic defect review and repair verification. In this paper we present the latest performance achievements of the platform together with the discussion on platform based capabilities for possible future actinic metrology extensions, with a special emphasis on the AIMSTM EUV solution for high-NA emulation capabilities.
EUV pellicle qualification on transmission and reflectance
Rainer Lebert, Christian Pampfer, Andreas Biermanns-Foeth, et al.
RI Research Instrument’s EUV pellicle transmission qualification tool EUV-PTT uses “effective inband EUV measurement” which is spectrally filtering emission of the EUV-Lamp to 2% bandwidth at 13.5 nm for measuring “as seen by the scanner”. Images of about 20*20 mm² are recorded in < 5 seconds. A full pellicle characterization with < 60 images taken is accomplished in less than one hour. Recently, we have performed some studies on applying this technique to carbon nano tube pellicles and on measuring the reflectance of pellicles which will be reported. The latter is heavily demanding as reflectances in the range of 0.01 % were measured with sensitivities and reproducibilities in the range of 0.002 %.
EUV Imaging Enhancement I
icon_mobile_dropdown
3D mask effects in high NA EUV imaging
Understanding, characterization and management of 3D mask effects, including non-telecentricity, contrast fading and best focus shifts, become increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. Novel imaging configuration and central obscuration in high NA EUV projection systems introduce additional imaging effects. A simplified coherent imaging model, rigorous mask diffraction simulations, images for individual source areas and a hybrid mask model are employed to analyze the root causes of observed imaging artifacts. Based on this, several image enhancement strategies including modifications of mask and source are devised and investigated for lines/spaces.
Investigation of mask absorber induced image shift in EUV lithography
With the introduction of EUV lithography into early manufacturing, the lithography community still struggles with some imaging issues, like the magnitude of usable depth of focus, and reduced contrast which can impact stochastic effects such as defectivity and line edge roughness. In recent years EMF mask effects and their impact on best focus shift in EUV lithography were investigated, and alternative absorber stacks were proposed in order to improve control of focus and contrast. Recently, interest in phase shifting masks has resurfaced, and there are proposals for alternative absorber stacks that depend on feature type to be patterned. In this paper, we investigate the phenomenon that for a dipole the separate images of the two monopoles do not overlap with each other. This means that the contrast for the dipole is measurably lower than that of the individual monopoles. We discuss the impact of this effect on contrast and usable depth of focus, propose a method to measure this image split effect, and investigate which absorber materials can reduce it. One approach to suppressing the image split has been the choice of a material that is index matched to vacuum, which therefore shows a negligible image split behavior. While this image split is indeed largely suppressed for absorbers with n ≈ 1, those materials have markedly low intrinsic image contrast, making them unsuitable as absorbers. Instead, we have converged on absorbers that create either a significant phase shift or feature very strong absorption, with recent proposals coming close to a π phase shift for attPSM. We hypothesize that the close index match to vacuum is detrimental to imaging because a larger part of the light is absorbed which would otherwise have contributed to imaging.
Implementation of different cost functions for EUV mask optimization for next generation beyond 7nm
As the technology node gets smaller and smaller, the benefit from Sub-Resolution Assist Features (SRAF) becomes significant in EUV lithography which makes SRAFs a must-have tool for next generation beyond 7nm technology. When considering EUV specific effects, the metrics that need to be accounted for include Image Log-Slope (ILS), Process Variability (PV Band), common Depth of Focus (cDOF), and Image Shift (ImS) through focus. When these critical factors are accounted for during the EUV mask generation the optimization become much more complicated and challenging and necessitates the need for SRAFs beyond 7nm. SRAF helps enhance not only the PV Band, but more importantly helps boost the ILS, which is one of the key factors for improving stochastic effect in EUV. However, ILS is just one of the important image quality metric that we should focus on. For metal layers, Image Shift is another key factor which can have a big impact on overlay. ImS at the nominal condition could be compensated by Optical Proximity Correction (OPC), but image shift through focus can hardly be tuned by the main feature correction. The image shift through focus can be mitigated by SRAF insertion. Strong 3D mask effects can cause best focuses of different patterns to be far apart in EUV, which can cause an unusable cDOF even when the individual depth of focus values of all the patterns are not bad. SRAFs can be inserted to improve the individual depth of focus and align the best focuses together to help enhance the common process window. When taking account of various different EUV specific metrics mentioned above, then the most critical question for the next generation beyond 7nm is “How to define the cost function for mask optimization with SRAFs?” (Figure 1, EUV mask optimization flow for next generation beyond 7nm). In this study the image quality metrics including ILS, PVBand, cDOF, and ImS are evaluated. For each optimization schema using different cost functions, we examine the cost function metric and its impact on the other image quality metrics. We also present the potential trade-offs together with the analysis. Furthermore, multiple cross cost functions are defined for SRAF optimization and the results are analyzed accordingly. Both contact and metal layer patterns representing next generation beyond 7nm design rules are investigated. In our testing, symmetric standard sources from ASML NXE3400 is examined and the results are compared and analyzed.
EUV Imaging Enhancement II
icon_mobile_dropdown
Compatibility assessment of novel reticle absorber materials for use in EUV lithography systems.
Jetske Stortelder, Arnold Storm, Veronique de Rooij-Lohmann, et al.
Novel absorber materials are being developed to improve EUV-reticle imaging performance for the next generations of EUV lithography tools. TNO, together with ASML, has developed a compatibility assessment for novel absorber materials, which addresses the risk that exposure of incompatible materials to EUV-radiation and EUV-plasma conditions results in contamination of the optics in the EUV lithography tools. The assessment is divided in two stages to optimize the efficiency of the procedure. Most contamination risks can be addressed cost-efficiently in the first stage with existing vacuum and plasma test facilities. Novel absorber materials can thus be assessed in an early stage of their development without the immediate need for more expensive EUV testing. This stage of the compatibility assessment was executed with an EUV reticle piece with a TaN-based absorber, and results are presented. The TaN-based absorber showed no compatibility issues, as expected. This test procedure now sets the baseline for testing novel absorber materials. 96.000 exposures can be performed in a NXE 3400 EUV lithography tool with a 300W source with absorber materials that successfully passed the first stage of the compatibility assessment. Assuming 96 exposures per wafer, this equals 1000 wafers. Absorber materials that passed the first stage may proceed to the second stage: an accelerated EUV test exposure in the EUV Beamline 2 (EBL2). Each material will be exposed to an EUV-dose equivalent to about half a year of reticle exposure in the NXE 3400 lithography tool with a 300W source. This test is in preparation and expected to be available in the second quarter of 2019.
Experimental investigation of a high-k reticle absorber system for EUV lithography
Jo Finders, Robbert de Kruif, Frank Timmermans, et al.
EUV lithography is entering High Volume Manufacturing at relative high Rayleigh factor k1 above 0.4. In comparison immersion lithography has been pushed to k1 values of 0.3 or below over the last two decades. One of the strong contributors determining the effective usable resolution is the mask absorber stack. The mask stack alters the diffraction by modifying the phase and intensity of the diffracted orders. In this paper we show the exposure results of a test mask having higher absorbance of EUV light and the advantages of reduced Mask 3D effects to imaging.
Advanced multilayer mirror design to mitigate EUV shadowing
In this work, we demonstrate a method to design the Mo-Si multilayer stack of an EUV photomask to increase the optical efficiency of shadowing-orientation equal lines and spaces imaged under dipole illumination. We achieve this using a computational framework written in the PyTorch machine learning library, which is capable of optimizing the multilayer for partially-coherent imaging rather than specular reflectivity. After computing optimal multilayer designs for both 0.33 and 0.55 NA EUV systems, we verify the improvements via RCWA simulation. We demonstrate optical efficiency gains of up to 22%=14% for the 0.33/0.55 NA systems, respectively.
Progress in EUV Sources
icon_mobile_dropdown
High-power LPP-EUV source with long collector mirror lifetime for semiconductor high-volume manufacturing
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. We have developed first practical source for HVM; “GL200E” 1) in 2014. We have proved high average power CO2 laser more than 20kW at output power cooperate with Mitsubishi electric cooperation2). Pilot#1 is up running and its demonstrates HVM capability; EUV power recorded at111W average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22hours operation in October 20163). Availability is potentially achievable at 89% (2weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.5%/Gp) above 100W level operation with dummy mirror test.4). Recently we have demonstrated actual collector mirror reflectivity degradation rate is less than -0.4%/Gp by using real collector mirror around 100W ( at I/F clean ) in burst power during 30 Billion pulses operation. We will report latest data 125W average operation with actual collector mirror at conference. Reference 1) Hakaru Mizoguchi, et. al.: “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014) 2) Yoichi Tanino et.al.:” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013, ( Oct.6-10.2013, Toyama) 3) Hakaru Mizoguchi et al.:” Performance of 250W High Power HVM LPP-EUV Source”, Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII (2017) 4) Hakaru Mizoguchi, et al: ” High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, EUVL Workshop 2017, (Berkley, 12-15, June, 2017)
Colliding plasmas as potential EUV sources towards higher conversion efficiency
Development and optimization of EUV sources for nanolithography during the last decade lead to the significantly improved understanding of Laser Produced Plasmas (LPPs) evolution and properties. Many efforts were devoted to the fundamental effects of laser parameters on LPP temporal and spatial characteristics and on EUV light emission and absorption. Comprehensive experimental and theoretical studies allowed prediction of optimum conditions for the efficient sources produced by various lasers. However, improving the quality and reducing the cost of chips production require significant increase in EUV source power. Several studies have shown EUV power limits from laser based sources produced using mass-limited Sn droplets. These limitations can be explained by target/plasma hydrodynamics effects under laser irradiation that can result in reduced transient area of laser/plasma interactions and the high reabsorption of emitted EUV photons in evolving plasma. Therefore, further analysis of the effects of target parameters on EUV output is an important task in the optimization of future high power EUV sources. Colliding plasmas experiments can be very useful in this regard. Development of pre-plasma in these experiments can be regulated by several parameters of two lasers in conjunction with target geometry. The developed pre-plasma characteristics can accurately be measured and optimized for the following main laser (CO2 or Nd:YAG) irradiation to develop very efficient and prolonged EUV emitting area. We used our advanced 3D simulation package (HEIGHTS) for modeling of complex colliding plasmas evolution and EUV source characteristics produced by two simultaneous Nd:YAG lasers interaction with wedge target followed by CO2 irradiation. We used several diagnostics technique and comparison of modeling and experimental results in our CMUXE labs regarding plasma characteristics, laser/plasma/target interactions, and EUV photons emission and absorption. Our results showed that significant enhancement in EUV output can be achieved with optimized parameters of laser beams that could allow approaching the predicted theoretical limit of the conversion efficiency of EUV sources.
Laser produced plasma EUV sources for HVM 7nm node lithography: progress in availability and prospects of power scaling
In this paper, we provide an overview of state-of-the-art technologies for laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source performance to enable high volume manufacturing of the N7 node and beyond. Source architecture enabling stable and reliable performance at 250 Watts EUV power, and the technical challenges for scaling of key source parameters and subsystems toward 500W will be described. Improvements in availability of droplet generation and the performance of critical subsystems that contribute to Collector lifetime toward the one tera-pulse level, will be shown. Finally, we will describe current research activities and provide a perspective for LPP EUV sources towards the future ASML Scanners.
Ion dynamics in laser-produced plasma for EUV generation in nanolithography devices
Increasing EUV photon power in laser-produced plasma (LPP) sources is critically needed for efficient nanolithography devices. Improving debris mitigation methods is another important subject in the development of EUV sources for high volume manufacture tools. We investigated different mechanisms affecting ions acceleration in LPP to predict the maximum ion energies and flux arriving at the mirror surface. We studied in details plasma evolution produced by Nd:YAG laser from Sn target to predict EUV producing ions dynamics and their contributions to EUV source.

The 3D multi-physics fully integrated HEIGHTS package was used in this analysis. We continue to develop, enhance, and benchmark the models implemented in our package to include various physics involved in LPP systems. HEIGHTS simulation of detail ion kinetic energies were compared with experimental data and showed great confidence in our advanced self-integrated models that can then be used for the explanation of the experimental data as well as for various predictions. Spatial and charge distributions were predicted for EUV producing ions and debris. We studied various target configurations and laser parameters to enhance the power of EUV sources as well as to reduce and mitigate ions and debris effects on the collecting mirror system. The comprehensive integrated full 3D models allowed accurate simulation of all processes of plasma formation, dynamics, and EUV photons emission and collection.
Ongoing investigation of collector cleaning by surface wave plasma in the Illinois NXE:3100 chamber
Gianluca A. Panici, Dren Qerimi, David N. Ruzic
A hydrogen plasma cleaning technique to clean Sn (tin) off EUV collector optics is studied in detail. The cleaning process uses hydrogen radicals and ions (formed in the hydrogen plasma) to interact with Sn-coated surfaces, forming SnH4 and being pumped away. This technique has been used to clean a 300mm-diameter stainless steel dummy collector optic, and EUV reflectivity of multilayer mirror samples was restored after cleaning Sn from them, validating the potential of this technology. This method has the potential to significantly reduce downtime and increase source availability as it occurs in-situ. While previous experiments have been conducted using an RF capacitively coupled plasma, a microwave-generated surface wave plasma (SWP) is advantageous due to its high density, low electron temperature, and ability to be generated locally where etching is needed. Langmuir probe measurements of the surface wave plasma show electron temperatures of 1 to 5 eV and plasma densities on the order of 10^11-12 cm-3. Previous experiments have shown etch rates of greater than 10 nm/min over a 2 inch diameter circular plasma area with an unoptimized SWP launcher. Peak etch rates of 94.9 nm/min were measured in the immediate vicinity of the plasma source. A custom launcher was designed for the 3100 collector and tested in the NXE:3100 chamber at Illinois at standard operating conditions. Previous results at different pressures have been presented, but the flow rate dependency was never investigated. Etch rates at commercially viable pressures and different flow rates were explored and these results will be presented.
EUV OPC and Modeling: Joint session with conferences 10957 and 10962
icon_mobile_dropdown
Mask 3D effect reduction and defect printability of etched multilayer EUV mask
We report on the reduction of the mask 3D effect in an etched 40-pair multilayer extreme ultraviolet (EUV) lithography mask by measuring the printed ΔCD (horizontal–vertical) on exposure with a high-NA small field exposure tool (HSFET). We compared these patterns with those of a conventional Ta-based absorber EUV lithography mask. Next, we examined the programmed pattern defect printability of the etched 40-pair multilayer EUV lithography mask and showed that defect printability of the etched multilayer mask was hardly influenced by the direction of EUV illumination. We conclude that the mask 3D effect reduction contributes to simple specifications of the mask pattern defect printability in EUV lithography.
Development of fast rigorous simulator for large-area EUV lithography simulation
In this paper, we first explain why the original pseudo-spectral time-domain (PSTD) formulation, as given in a well-know book on computational electromagnetics, is numerically unstable for curvilinear geometries. Then we explain how this problem can be fixed by a simple but crucial modification to the original formulation. The new formulation has allowed us to construct a very accurate and extremely fast rigorous simulator for DUV and EUV lithography. Benchmarks are presented to demonstrate the high accuracy and great speed of the new simulator. This brings us one step closer to achieving the goal full-chip, rigorous simulation for DUV and EUV lithography.
Poster Session
icon_mobile_dropdown
Actinic inband EUV reflectometry AIMER compared to ALS blank qualification and applied to structured masks
A. Biermanns-Föth, C. Phiesel, T. Missalla, et al.
Spectral reflectometry is an established technique for actinic qualification of EUV mask and blanks. For production in the EUV scanner, however, the homogeneity of the effective inband property – e.g. reflectance - is more relevant than the spectral reflectance curves. The effective reflectance is usually extrapolated indirectly from the knowledge of Rmax, CWL50 and FWHM, in which the efficiency is mainly proportional to Rmax if the spectral reflectance distribution and its central wavelength are similar to those of the scanner. This fact is accounted for in our AIMERTM metrology solution. In a single measurement AIMER registers the signal from an area of about 20×20 mm2 with a typical 13.5×13.5 μm2 pixel resolution. This technique is very robust and fast by irradiating the sample with a beam, which is spectrally filtered (e.g. from the Xenon EUV emission of a discharge source) to 2 % spectral bandwidth around the CWL of the scanner. With such an “inband EUV beam”, the efficiency of the sample is recorded with respect to its quality factors throughput and homogeneity “as seen by the scanner”. With multiple exposures stitched together AIMER maps the effective reflectance while being sensitive to the convoluted influence of the single parameter just as effective in scanner use. Mapping of the effective reflectance of a full EUV mask surface is accomplished in less than one hour. In this paper, we compare conventional ALS spectral reflectometry with AIMER results and show first tests of AIMER on structured EUV masks.
Table-top EUV/soft x-ray source for metrological applications
K. Mann, J. Holburg, S. Lange, et al.
Two methods improving the brilliance of laser-induced plasmas emitting in the extreme UV (EUV) and soft x-ray (SXR) region were investigated, using three different gases (nitrogen, krypton, and xenon) from a pulsed gas jet. Utilizing a newly designed piezo electric valve, up to almost ten times higher gas pressures were applied, resulting in increased target densities and thus, higher conversion efficiencies of laser energy into EUV and SXR radiation. Secondly, geometrically reducing the angle between incoming laser beam and observed plasma emission minimizes reabsorption of the emitted short wavelength radiation. Combining both methods, the source brilliance is increased by a factor of 5 for nitrogen. Furthermore, a compact EUV focusing system for metrological applications is presented utilizing the optimized plasma source. An energy density of 1 mJ/cm² at λ = 13.5 nm in the focal spot of an ellipsoidal mirror is achieved with xenon as target gas being sufficient for material removal of PMMA samples with an ablation rate of 0.05 nm/pulse.
High-precision MoSi multilayer coatings at high-volume for continued EUVL infrastructure development
Michael Kriese, Yang Li, Jeffery Steele, et al.
The development of industrial infrastructure for EUV lithography requires a wide array of optics beyond the mask and the scanner optics, which include optics for critical instruments such as actinic inspection optics or in-situ diffusers. This paper will detail recent results in the production of high-precision multilayer coatings achieved to support this development. In the first case, a range of imaging optics with multiple sizes and radial designs are all produced in a cyclic fashion on a single high-volume machine. Optics feature a diameter from a few millimeters to over 200mm, as well as a range of curvatures, on high-capacity machine in which four different optics were efficiently calibrated and coated in parallel. Details relating to results of precision, repeatability, and added surface distortion are highlighted. In the second case, the contribution to developing a diffusing multilayer by using sputter deposition to first create a tuned irregular surface is described. This diffusive EUV surface is a critical component for an in-situ EUV scanner wavefront metrology system based on lateral shearing interferometry. Details will be shared on the use of Cr as a thin film that can be deposited to meet process requirements for the tuned parameters of surface roughness required to reduce the reflective specular component and the spatial frequency spectrum of the roughness to fully fill the pupil without excessive overfill leading to loss of light.
The defect mitigation on EUV stack by track based technology
Naoki Shibata, Lior Huli, Corey Lemley, et al.
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the second generation of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. A typical defect Pareto for EUV line-space patterning is dominated by bridging defects and pattern collapse. Regarding pattern collapse, careful attention needs to be paid to optimizing the rinse process to avoid the large forces that cause collapse during drying. In this paper, we present an optimized rinse technology that works to prevent that pattern collapse, especially on EUV line/space patterns below 40nm pitch. Additionally, this paper reviews the ongoing progress in track-based processes (coating, developer) that are required to enable EUV patterning. This work is especially focused on defect mitigation during film coating and resist developing processes, which have a direct effect on the occurrence of bridging defects during pattern transfer.
EUV-LET 2.0: a compact exposure tool for industrial research at a wavelength of 13.5nm
Sascha Brose, Serhiy Danylyuk, Lukas Bahrenberg, et al.
In this paper the authors present the EUV laboratory exposure tool in a new configuration for in-band operation at a wavelength of 13.5 nm, named EUV-LET 2.0. Compared to the previous configuration for a working wavelength of 10.9 nm, which was aimed at cost-efficient general nanostructuring, the main applications of the EUV-LET 2.0 can be found in the industrial research, development and quality control of EUV photoresists. The tool is capable of photoresist characterization in terms of sensitivity, contrast, outgassing behavior and resolution. Additionally, the exposure tool can be utilized for in-band transmission measurements of photoresists and filters. The EUV-LET 2.0 uses the xenon plasma emission from a compact discharge-produced plasma (DPP) EUV source, filtered to in-band EUV at 13.5 nm with 4 % bandwidth (at full-width at half maximum) by an out-of-band filter (niobium/silicon nitride) and a multilayer mirror (molybdenum/silicon). High-resolution phase-shifting transmission masks define the features and are positioned precisely to the resist-coated wafer. An EUV sensitive charge-coupled device (CCD) camera supports beam alignment and diffraction efficiency measurements. For high-resolution patterning the (achromatic) Talbot lithography is used, which is perfectly suited for partially coherent radiation of a defined bandwidth, as provided by a plasma-based radiation source. The paper addresses the general tool configuration including spectral characterization of the DPP EUV source before and after multilayer reflection, diffraction efficiency measurements of a realized phase-shifting mask, contrast curve measurements and exemplary exposures performed with a single contact hole mask. Different exposure results are achieved by varying the mask to wafer distance and by controlled movement of the wafer stage during an exposure. In this way both, de-magnified contact hole arrays as well as lines and spaces arrays with 35 nm half-pitch are obtained.
Photon detector calibration in the EUV spectral range at PTB
For EUV-lithography, reliable measurements of the radiant power throughout the optical chain are an essential requirement for the optimization of the lithographic production process as well as for the development of new applications like EUV-based metrology tools. From dose control to aerial imaging, specialized detectors are required - ranging from simple diodes to sophisticated imaging detectors like CCD or CMOS systems. For all these applications, sensitivity, homogeneity and lifetime are crucial parameters. While extended lifetime and sub percent homogeneity requirements are common among all detector uses, sensitivity targets range from single photon sensitivity for spectroscopy detectors to deliberately reduced sensitivity for dose control at high-power sources. Photon detector calibration in the EUV spectral range is therefore a prerequisite for new detector developments and a basis for the introduction of EUV-lithography into volume manufacturing. PTB employs two dedicated and complimentary EUV beamlines for radiometric characterizations of photon detectors. The wavelength range covered reaches from below 1 nm to 45 nm for the two EUV beamlines. Longer wavelengths coverage in the VUV range (out-of-band) is provided at PTB’s VUV radiometry beamline. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm by 0.1 mm. For lifetime testing, a dedicated exposure setup with power densities of up to 20 W/cm2 is operated. It enables exposures in the range of 100 kJ/cm2 within a reasonably short time. Lower fluence levels are available by attenuation or exposure farther out of focus. We will explain calibration basics, describe PTB's calibration capabilities in the EUV spectral range and show exemplary data for the respective detector types.
Electrically measurable test structures to capture and classify EUV stochastics
Hemant Vats, Ryan Ryoung Han Kim, Yasser Sherazi, et al.
Advanced technology nodes are demanding aggressive printability using EUV. EUV printing process inherently brings in stochastic defects. To measure and experience various types of Stochastics in EUV printing, high volume measurements are deemed necessary. Furthermore the classification of the defects in terms of stochastic and systematic is also required. The permutation and combinations of shapes, sizes, and proximity driven stochastics errors are high in numbers, leading to significant increase in the number of test structures needed. Without electrically measurable solutions, the defect test measurement exercise becomes impractical to perform visually. This paper will describe few examples of developing and handling the test structures capable to capture the defects and defect location and further to classify the defects in terms of stochastic or systematic defects.
Line-edge roughness on fin-field-effect-transistor performance for below 10nm patterns
As the critical dimension (CD) of electronic devices continues to be scaled down to less than 10-nm in size, the lineedge roughness (LER) becomes a critical issue that significantly affects the CD, as well as the device performance because the LER does not scale along with the feature size. Therefore, the LER needs to be reduced to continue to shrink the feature size as well as minimize the device malfunctions. In this study, the LER impacts on the performance of fin-field-effect-transistors (FinFETs) are investigated using a compact device method. For the fluctuation of electric potentials due to the fin-width roughness (FWR) based on the stochastic fluctuation during the lithography process, electric potentials with fat-fin, thin-fin, big-source, and big-drain FWRs are right shift, left shift, down shift, and upper shift to the electric potential without FWR, respectively. For the fluctuation of drain currents due to gate voltages, drain currents with fat-fin, big-source, and big-drain FWRs are righter shift in order. According to the Taguchi method, gate voltage and channel length are more dominant parameters on the sensitivity of electronic potential and current drain of a FinFET device.
Calibrated PSCAR stochastic simulation
Cong Que Dinh, Seiji Nagahara, Gousuke Shiraishi, et al.
Photosensitized Chemically Amplified ResistTM (PSCARTM) has been demonstrated as a promising solution for a high sensitivity resist in EUV lithography mass production. This paper describes the successful calibration of a PSCAR resist model for deployment within rigorous lithography process simulation, capturing continuum as well as stochastic effects. Verification of the calibrated model parameters was performed with new patterns or with new resist formulations with good agreement. The reduction of required EUV dose of PSCAR resist while maintaining similar roughness levels have been achieved both from experimental result and from simulated result. The simulation of PSCAR continues to be a great tool for understanding, predicting, and optimizing the process of PSCAR.
Novel technologies in coater/developer to enhance the CD stability and to improve the defectivity toward N7 and smaller nodes
Yuya Kamei, Yohei Sano, Takashi Yamauchi, et al.
EUV lithography has been brought into mass production. To enhance the yield, improvements in critical dimension (CD) stability, and defectivity still remain of utmost importance. In order to enhance the defectivity performance on contact hole pattern, continuous work has been executed.1 As the result of process optimizations presented at SPIE 2018 for 24 nm contact hole half pitch pattern, single- and multi-closed hole modes as caused by particles included in coating materials, called “in-film particles”, or stochastic failures are the major of defects modes. For defectivity improvement work done this year, optimization of material dispense has been carried out in an attempt to improve the defectivity of single- and multi-closed holes as caused by in-film particles. As the result, totally 19 % of defectivity improvement was obtained as compared with conventional dispense conditions. On the other hand, CD variability is comprised of several components such as wafer to wafer, field to field, within field, and local CD. In addition, not only coater/developer but also scanner, mask, and materials contribute to the variations. In this paper, CD uniformity (CDU) optimization on also contact hole 24 nm half pitch pattern has been executed to improve each component from the standpoint of coater/developer. As a result of the optimization of development process, 13.9 and 6.4 % of field to field and within field CDU improvement have been achieved, respectively.
Simulation of statistical effects in exposure and development of EUV photoresists using the percolation and diffusion limited aggregation model
Akira Sasaki
A simulation model to analyze exposure and development processes of EUV resists is presented. The model combines percolation model and diffusion limited aggregation (DLA) model to simulate dissolution of the resist molecules into developer, which has been exposed randomly by the EUV photons. We investigate the roughness of the pattern caused by the photon shot noise with the model as a function of line width and dose.
Fundamental study on dissolution kinetics of poly(4-hydroxystyrene) for development of high-resolution resists
We investigated the dissolution kinetics of poly(4-hydroxystyrene) (PHS) in an alkali developer with tetramethylammoniumhydroxide (TMAH). Experiments using PHS with different molecular weights and molecular weight dispersions and developer with different concentrations of TMAH led to the clarification of the dissolution behavior. Not only a change in the dissolution time but also a change in the dissolution behavior was observed upon changing the concentration of the developer. The dissolution behavior depends on an index calculated from values indicating the effects of swelling and dissolving. The dissolution occurred through the swelling of the polymer bulk and the subsequent diffusion of polymer chains into the solution bulk. The development using the alkali aqueous solution system was complex. The swelling rate should not be much larger than the dissolving rate for the development of high-resolution resists because a high swelling rate causes the generation of defects during the fabrication of fine structures.
Update of the development progress of the high power LPP-EUV light source using a magnetic field
Atsushi Ueda, Shinji Nagai, Tsukasa Hori, et al.
Gigaphoton Inc. is developing a laser produced plasma (LPP) extreme ultra violet (EUV) light source for high-volumemanufacturing (HVM) semiconductor lithography. Original technologies and key components of this source include a high-power carbon dioxide (CO2) laser with 15ns pulse duration, a short wavelength solid-state pre-pulse laser with 10ps pulse duration, a highly stabilized small droplet (DL) target, a precise laser-DL shooting control system and debris mitigation technology with a magnetic field. In this paper, an update of the development progress of the total system and of the key components is presented.
Litho-performance expansion with new SOC made from Hemicellulose
Masahiko Harumoto, Yuji Tanaka, Chisayo Nakayama, et al.
Tri-layer processes, which typically consist of photoresist, Si containing anti-reflective coating (Si-ARC) and spin on carbon (SOC), have been widely used since ArF immersion lithography. Continually reduced pattern dimensions need thinner photoresist films due to the common phenomenon of post-develop line collapse with higher aspect ratios. Consequently, it has been necessary to enhance pattern transfer performance after etching with such tri-layer processes. Successfully implementing tri-layer processes requires consideration of issues such as increased process steps, cost, and other inherent limitations of pattern transfer enhancement with a more complicated stack. In this work, we present a hemicellulose SOC material with the outstanding advantage of Si etch selectivity greater than 15. Hemicellulose SOC could significantly reduce pattern transfer limitations for etching, therefore the benefits of processing higher aspect ratio structures can be more easily achieved. Herein, we investigate the hemicellulose SOC lithography performance using resolution, sensitivity, and line width roughness as metrics. Also, we demonstrate these lithography performances through the etching. During the conference, we will discuss the potential issues of next generation processes using ArF immersion and EUV lithography.
Interferometric measurement of phase in EUV masks
In this paper we present a technique for measuring the phase of EUV phase shift masks. In this technique we image the mask with a specialized objective lens that generates a superposition of two laterally separated images that interfere onto a detector. The resulting interference contains information about both the amplitude and the phase of the mask. By changing the incident illumination angle, we can control the bulk phase of the interference fringes, which allows us to reconstruct the phase difference between two adjacent points on the mask using standard phase retrieval techniques. While this method only reconstructs phase differences, it can be used to fully characterize the amplitude and phase of features provided that they are adjacent to a flat reference area on the mask.
Achieving diffraction-limited performance on the Berkeley MET5
The Berkeley MET5, funded by EUREKA, is a 0.5-NA EUV projection lithography tool located at the Advanced Light Source at Berkeley National Lab. Wavefront measurements of the MET5 optic have been performed using a custom in- situ lateral shearing interferometer suitable for high-NA interferometry. In this paper, we report on the most recent characterization of the MET5 optic demonstrating an RMS wavefront 0.31 nm, and discuss the specialized mask patterns, gratings, and illumination geometries that were employed to accommodate the many challenges associated with high-NA EUV interferometry.
Investigating EUV radiochemistry with condensed phase photoemission
Jonathan Ma, Andrew R. Neureuther, Patrick P. Naulleau
In EUV, photoelectrons and secondary electrons play indispensable roles in the chemistry of photoresist. An accurate understanding of electron related processes provides foundation for targeted engineering of resists and other EUV materials. As chemistry is initiated by secondary electrons, acquiring the electron energy distribution inside an actual photoresists is important for improving the efficiency of chemical activation. We demonstrate that condensed phase photoemission spectroscopy can be used as a tool for interrogating electrons in resist and electrons owing from underlayers to resists. The electron energy distribution, albeit different from that measured with condensed phase photoemission spectroscopy, can be recovered computationally. The computational approach involves Monte Carlo simulations using the energy resolved scattering mean free path and the photoemission energy spectra as inputs.