Proceedings Volume 10583

Extreme Ultraviolet (EUV) Lithography IX

cover
Proceedings Volume 10583

Extreme Ultraviolet (EUV) Lithography IX

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 22 May 2018
Contents: 18 Sessions, 66 Papers, 42 Presentations
Conference: SPIE Advanced Lithography 2018
Volume Number: 10583

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10583
  • Plenary Presentations
  • Keynote Session
  • EUV: Resist Processes: Joint session with conferences 10583 and 10586
  • EUV: Metal-based Resists: Joint session with conferences 10583 and 10586
  • EUV Patterning I
  • EUV Patterning II
  • RET I
  • EUV Optics
  • Patterning and Etch for EUV: Joint session with conferences 10583 and 10589
  • RET II
  • EUV Mask
  • Special Session: Three Points on Shot Noise, 100 Years Later
  • EUV Source
  • EUV Mask Defectivity
  • EUV Resist Roughness
  • Novel EUV Resist Concepts
  • Poster Session
Front Matter: Volume 10583
icon_mobile_dropdown
Front Matter: Volume 10583
This PDF file contains the front matter associated with SPIE Proceedings Volume 10583, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.
Plenary Presentations
icon_mobile_dropdown
Moore's law, lithography, and how optics drive the semiconductor industry
G. Dan Hutcheson
When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it’s often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore’s Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.
Keynote Session
icon_mobile_dropdown
EUV photolithography: resist progress and challenges
With the rapid development of semiconductors, today's optical lithography is approaching its physical limits, and thus alternative patterning technology is urgently desired. Extreme ultra-violet (EUV) lithography, using a wavelength of 13.5 nm, is considered one of the most prominent candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements following the ITRS roadmap. Though polymer-based CAR (chemically amplified resist) is the current standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, our recent progress in metal oxide nanoparticle photoresist research will be discussed. Brief discussion of a number of important structure and property issues pertaining to key characteristics affecting resist performance is also included.
EUV: Resist Processes: Joint session with conferences 10583 and 10586
icon_mobile_dropdown
Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement
EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.
Multi-trigger resist patterning with ASML NXE3300 EUV scanner
Yannick Vesters, Alexandra McClelland, Danilo De Simone, et al.
Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), and during the past years, has been mainly tested using interference lithography at PSI. In this study, we present the results that have been obtained using MTR resists, performing EUV exposures on ASML NXE3300B EUV scanner at IMEC.

We present the lithography performance of the MTR1 resist series in two formulations – a high-speed baseline, and a formulation designed to enhance the multi-trigger behaviour. Additionally, we present results for the MTR2 resist series, which has been designed for lower line edge roughness. The high-speed baseline resist (MTR1), showed 18 nm resolution at 20mJ/cm2. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm. Performance across multiple process conditions are discussed. We performed etch rate measurement and the multi-trigger resist showed etch resistance equivalent or better than standard chemically amplified resist. This could compensate for the lower film thickness required to avoid pattern collapse at pitch 32nm.
EUV: Metal-based Resists: Joint session with conferences 10583 and 10586
icon_mobile_dropdown
Role of excess ligand and effect of thermal treatment in hybrid inorganic-organic EUV resists
Eric C. Mattson, Sara M. Rupich, Yasiel Cabrera, et al.
The chemical structure and thermal reactivity of recently discovered inorganic-organic hybrid resist materials are characterized using a combination of in situ and ex situ infrared (IR) spectroscopy and x-ray photoemission spectroscopy (XPS). The materials are comprised of a small HfOx core capped with methacrylic acid ligands that form a combined hybrid cluster, HfMAA. The observed IR modes are consistent with the calculated modes predicted from the previously determined x-ray crystal structure of the HfMAA-12 cluster, but also contain extrinsic hydroxyl groups. We find that the water content of the films is dependent on the concentration of excess ligand added to the solution. The effect of environment used during post-application baking (PAB) is studied and correlated to changes in solubility of the films. In doing so, we find that hydroxylation of the clusters results in formation of additional Hf-O-Hf linkages upon heating, which in turn impacts the solubility of the films.
Ti, Zr, and Hf-based molecular hybrid materials as EUV photoresists
Sonia Castellanos, Lianjia Wu, Milos Baljozovic, et al.
Metal oxoclusters are hybrid inorganic-organic molecular compounds with a well-defined number of metal and oxygen atoms in their cores. This type of materials is a promising platform for extreme ultraviolet (EUV) photoresists: their inorganic cores provide them with tunable EUV absorptivity and their molecular nature might favour smaller resolution and roughness while it also renders specific spectroscopic fingerprints that allow to monitor the chemical changes induced by EUV light. In this work, we compare the EUV photochemistry of metal oxoclusters based on Ti, Zr, and Hf and methacrylate ligands (Mc) and their sensitivity as resist materials for EUV lithography. Decarboxylation processes upon EUV exposure are detected in all cases with ex-situ X-ray photoelectron spectroscopy (XPS) and infrared spectroscopy (IR). However, the structural changes after film deposition and after exposure differed among the three compounds. Higher sensitivity was detected for the Hf-based material than for the Zr-based analogue, in line with its higher absorptivity. XPS analyses suggest that only a small fraction of the carboxylate ligands is lost at the dose-to-gel. This change in the chemical composition is accompanied by an increased structural disorder in the layer and a rather small degree of aggregation, according to grazing incidence X-ray scattering (GIXS). These results indicate that neither a drastic loss of organic shell nor a high degree of aggregation of the naked inorganic cores are required for this type of molecular thin film to reliably operate as a resist material.
Recent status of resist outgas testing for metal containing resists at EIDEC
Eishi Shiobara, Shinji Mikami, Kenji Yamada
The metal containing resist is one of the strong candidates for high lithographic performance Extreme Ultraviolet (EUV) resists. EIDEC has prepared the infrastructure for outgas testing in hydrogen environment for metal containing resists at High Power EUV irradiation tool (HPEUV). We have experimentally obtained the preliminary results of the non-cleanable metal contamination on witness sample using model material by HPEUV [1]. The metal contamination was observed at only the condition of hydrogen environment. It suggested the generation of volatile metal hydrides by hydrogen radicals. Additionally, the metal contamination on a witness sample covered with Ru was not removed by hydrogen radical cleaning. The strong interaction between the metal hydride and Ru was confirmed by the absorption simulation [2]. Recently, ASML announced a resist outgassing barrier technology using Dynamic Gas Lock (DGL) membrane located between projection optics and wafer stage [3, 4]. DGL membrane blocks the diffusion of all kinds of resist outgassing to the projection optics and prevents the reflectivity loss of EUV mirrors. The investigation of DGL membrane for high volume manufacturing is just going on. It extends the limitation of material design for EUV resists. However, the DGL membrane has an impact for the productivity of EUV scanners due to the transmission loss of EUV light and the necessity of periodic maintenance. The well understanding and control of the outgassing characteristics of metal containing resists may help to improve the productivity of EUV scanner. We consider the outgas evaluation for the resists still useful. For the improvement of resist outgas testing in hydrogen, there are some issues such as the contamination limited regime, the optimization of exposure dose to obtain the measurable contamination film thickness and the detection of minimum amount of metal related outgas species generated. We are considering a new platform of outgas testing for metal containing resists based on the electron-beam irradiation system as one of the solutions for these issues. The concept is presented in this paper.
EUV Patterning I
icon_mobile_dropdown
EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?
Allen H. Gabor, Andrew C. Brendler, Timothy A. Brunner, et al.
The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term “EPE” has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of “Good Fields”1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma “value” design-rules need to be tested to ensure high yield. The “value” can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement error distributions and appropriate design-rule setting. While CD distributions with long tails coming from stochastic effects do bring increased risk of failure (especially on chips that may have over a billion failure opportunities per layer) there are other sources of variation that have sharp cutoffs, i.e. have no tails. We will review these sources and show how distributions with different skew and kurtosis values combine.
Holistic analysis of aberration induced overlay error in EUV lithography
Although lens aberrations in EUV imaging systems are very small, aberration impacts on pattern placement error and overlay error need to be carefully investigated to obtain the most robust lithography process for high volume manufacturing. Instead of focusing entirely on pattern placement errors in the context of a single lithographic process, we holistically study the interaction between two sequential lithographic layers affected by evolving aberration wavefronts, calculate aberration induced overlay error, and explore new strategies to improve overlay.
Defect detection strategies and process partitioning for SE EUV patterning
The key challenge for enablement of a 2nd node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma (291x) and e-beam systems, find it difficult to detect the main yield-detracting defects post-develop, and thus understanding the effects of process improvement strategies has become more challenging. New techniques and methodologies for detection of EUV lithography defects, along with judicious process partitioning, are required to develop process solutions that improve yield.

This paper will first discuss alternative techniques and methodologies for detection of lithography-related defects, such as scumming and microbridging. These strategies will then be used to gain a better understanding of the effects of material property changes, process partitioning, and hardware improvements, ultimately correlating them directly with electrical yield detractors .
Characterization and control of EUV scanner dose uniformity and stability
The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability.

Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.
EUV photoresist patterning characterization for imec N7/N5 technology
In the last year, the continuous efforts on the development of extreme ultraviolet (EUV) lithography has allowed to push the lithographic performance of the EUV photoresists on the ASML NXE:3300 full field exposure tool. Today imec N7 node (equivalent to foundry N5) is the first scaling node at which industry will likely insert EUV into production which will bring a reduction in processing steps therefore reducing total cost of ownership [1], increasing yield and reducing time to ramp. However, the high-volume-manufacturing (HVM) requirement to have a cost-effective low exposure dose photoresist (<20mJ/cm2) remains a big challenge and roughness and pattern defectivity at nano-scale are the major limiting factors of the lithographic process window of EUV resist when looking at tight pitches below 40nm [2, 3].

To be effective during the lithographic EUV material screening phase for such tight pitches, it is necessary to implement complementary metrology analyses that can provide precise information on the resist roughness and a quick feedback on the quantification of nano-failures (nano-bridges, broken lines, merging or missing contacts) induced by a stochastic EUV patterning regime, the random nature of the light-matter interaction and consequent chemical reactions. Beside the traditional approach to characterize a resist with metrics as exposure latitude (EL%), depth of focus (DoF) and line-edge-roughness (LER) based on CDSEM measurements, we have used the power spectra density (PSD) [4] to get an unbiased value of the resist line roughness (LWR and LER) by using Fractilia metroLERTM commercial software. Further, we have used Stochalis imec software [5] to quantify patterning nano failures providing an early stage assessment on the patterning fidelity of the examined resists.

We present the resist characterization results for 32nm dense line-space pattern on different substrates and for 36nm dense and orthogonal contact hole pitch pattern for different photoresists. Two positive tone chemically amplified (CA) resists have been identified at the exposure dose of 45mJ/cm2 and 33mJ/cm2 for logic (pitch 32nm dense line/space) and memory (pitch 36nm dense contact holes) use cases, respectively.
EUV for HVM: towards an industrialized scanner for HVM NXE3400B performance update
Roderik van Es, Mark van de Kerkhof, Arthur Minnaert, et al.
With the introduction of its fifth-generation NXE:3400B scanner, ASML brought EUV to High- Volume Manufacturing for 7 nm node lithography and beyond with full support of pellicle. This paper presents an update on lithographic performance results obtained with the NXE:3400B, characterized by an NA of 0.33, a Pupil Fill Ratio (PFR) of 0.2 and throughput capability of 125 wafers per hour. Advances in source power and system availability have enabled a continued increase of productivity. To maximize the number of yielding dies per day excellent Overlay, Focus, and Critical Dimension (CD) control have been realized, combining intrinsic tool stability with holistic control schemes. We will also show matching performance for both Overlay and Imaging, and further improvements in Focus Process Dependencies for the 5nm node.
EUV Patterning II
icon_mobile_dropdown
EUV vote-taking lithography: crazy... or not?
Vote-taking lithography is a method for mitigating mask defects, which has been applied in the 1980’s to enhance yield. Vote-taking sums up N different mask images with identical content, each at 1/N dose, to mitigate the defects on each individual mask. The fundamental assumption is that the mask defects do not correlate in position from mask to mask, and so each individual defect will be blended with good images from the other N-1 masks. Vote-taking has recently been brought under the attention again for consideration in EUV lithography, where it might provide a temporary solution for situations in which the defectivity conditions are not yet meeting expectations.

This paper provides a thorough experimental assessment of the implementation of vote-taking, and discusses its pro’s and con’s. Based on N=4 vote-taking, we demonstrate the capability to mitigate different types of mask defects. Additionally, we found that blending different mask images brings clear benefit to the imaging, and provide experimental confirmation of improved local CDU and intra-field CDU, reduction of stochastic failures, improved overlay, ... Finally, we perform dedicated throughput calculations based on the qualification performance of ASML’s NXE:3400B scanner.

This work must be seen in the light of an open-minded search for options to optimally enable and implement EUV lithography. While defect-free masks and EUV pellicles are without argument essential for most of the applications, we investigate whether some applications could benefit from vote-taking.
Evaluation of EUV mask impacts on wafer line-edge roughness using aerial and SEM image analyses
Xuemei Chen, Erik Verduijn, Obert Wood, et al.
As more aggressive EUV imaging techniques and resists with lower intrinsic roughness are developed for patterning at 7nm and 5nm technology nodes, EUV mask roughness will contribute an increasing portion of the total printed linewidth roughness (LWR). In this study, we perform a comprehensive characterization of the EUV mask impacts on wafer LWR using actinic aerial images and wafer SEM images. Analytical methods are developed to properly separate and compare the LWR effects from EUV masks, photon shot noise, and resist stochastics. The use of EUV AIMSTM to emulate and measure incident photon shot noise effects is explored and demonstrated. A sub-10nm EUV mask is qualified using EUV AIMSTM with scanner equivalent dose settings that are required for patterning 16nm and 18nm half-pitch L/S features with low- and high-dose CAR resists. The variance and spectral components contributing to wafer LWR are quantified and compared.
Comparative stochastic process variation bands for N7, N5, and N3 at EUV
Alessandro Vaglio Pret, Trey Graves, David Blankenship, et al.
Stochastics effects are the ultimate limiter of optical lithography technology and are a major concern for next-generation technology nodes in EUV lithography. Following up on work published last year, we compare the performance of organic chemically-amplified and condensed metal-oxide resists exposed at different sizing doses using a proxy 2D SRAM layout. For each combination of material, technology node, and lithographic approach, we perform 550,000 physics based Monte-Carlo simulations of the SRAM cell. We look at many performance data, including stochastic process variation bands at fixed, nominal conditions assuming no variation in process parameters vs. the stochastic process variation bands obtained by inclusion of process parameters. Perturbations are applied to exposure dose, focus, chief-ray azimuthal angle, mask CD, stack thicknesses, and PEB temperature.

We study stochastic responses for three technology nodes:

• An SRAM cell for 7 nm technology node, with Numerical Aperture = 0.33 and patterned with organic chemically amplified resist

• An SRAM cell for 5 nm technology node, with Numerical Aperture = 0.33 and patterned with:

o Organic chemically amplified resist

o Fast photospeed organic chemically amplified resist

o Metal-oxide resist

• An SRAM cell for 3 nm technology node, patterned with organic chemically amplified resist and:

o Numerical Aperture = 0.33 in single exposure

o Numerical Aperture = 0.33 with double exposure

o Numerical Aperture = 0.55 with anamorphic pupil

For each case, we optimize mask bias, source illumination and process conditions across focus to maximize the optical contrast. We did not apply optical proximity correction to the mask. The purpose of the work is to evaluate the stochastic behavior of different features as a function of material strategy, technology node, and lithographic approach.
Single exposure EUV of 32nm pitch logic structures: patterning performance on BF and DF masks
This paper summarizes findings for an N5 equivalent M2 (pitch 32) layer patterned by means of SE EUV. Different mask tonalities and resist tonalities have been explored and a full patterning (litho plus etch) process into a BEOL stack has been developed. Resolution enhancement techniques like SRAFs insertion and retargeting have been evaluated and compared to a baseline clip just after OPC. Steps forward have been done to develop a full patterning process using SE EUV, being stochastics and variability the main items to address.
DDR process and materials for novel tone reverse technique
Shuhei Shigaki, Wataru Shibayama, Satoshi Takeda, et al.
We developed the novel process and material which can be created reverse-tone pattern without any collapse. The process was Dry Development Rinse (DDR) process, and the material used in this process was DDR material. DDR material was containing siloxane polymer which could be replaced the space area of the photo resist pattern. And finally, the reverse-tone pattern could be obtained by dry etching process without any pattern collapse issue.

DDR process could be achieved fine line and space patterning below hp14nm without any pattern collapse by combination of PTD or NTD photo resist.

DDR materials were demonstrated with latest coater track at imec. DDR process was fully automated and good CD uniformity was achieved after dry development. Detailed evaluation could be achieved with whole wafer such a study of CD uniformity (CDU). CDU of DDR pattern was compared to pre-pattern’s CDU. Lower CDU was achieved and CDU healing was observed with special DDR material. By further evaluation, special DDR material showed relatively small E-slope compared to another DDR material. This small E-slope caused CDU improvement.
RET I
icon_mobile_dropdown
SRAF requirements, relevance, and impact on EUV lithography for next-generation beyond 7nm node
Vivian Wei Guo, Fan Jiang, Alexander Tritchkov, et al.
The next generation beyond 7nm node potentially requires the implementation of Sub-Resolution Assist Features (SRAF) with EUV lithography. This paper aims at providing a clear SRAF strategy for the next generation beyond 7nm node designs through a series of experiments. Various factors are considered, including: stochastic effects, 3D mask effects, through-slit effects, aberrations, and pixelated SMO sources.

EUV has 13.5nm as its wavelength, which is much smaller than the wavelength used in ArF lithography, and this gives very different imaging challenges compared to the ArF case. Due to the small wavelength and numerical aperture (NA) of the current EUV tools, depth of focus is not as significant of a concern as in DUV. Instead, EUV lithography is severely challenged by stochastic effects, which are directly linked to the slope of the intensity curve. DUV SRAF has been shown to be a powerful tool for improving NILS/ILS, as well as DOF, and here we explore how that translates into EUV imaging. In this paper, we consider Process Variability (PV) Bands with a variety of process conditions including focus/dose/mask bias changes and also the NILS/ILS as our objective functions, to determine what the best SRAF solution is for a set of test patterns. We have full investigations on both symmetric SRAF and asymmetric SRAF.

SRAF can potentially mitigate image shift through focus, i.e. non-telecentricity, caused by EUV 3D shadowing effect. This shadowing effect is pattern dependent and contributes to the overlay variation. As we approach the next generation beyond 7nm node, this image shift can be more significant relative to the overlay budget, hence we further investigate the impact of SRAF placement to the image shift. Moreover, the Center of Focus shift due to the large 3D mask absorber thickness can be potentially mitigated by SRAF implementation. The common process window is significantly impacted by both the center of focus shift and the individual depth of focus. We study the change by adding SRAF using both a symmetric source (standard source) and an asymmetric source (SMO source). Once SRAF is inserted for the test patterns, the common process window is plotted to compare the solutions with and without SRAF.

Finally, we understand the importance of using full flare map and full through slit model (including aberration variation through slit) in the main feature correction, but in this paper, we will further evaluate the need of using full models in SRAF insertion. This is a necessary step to determine the strategy of SRAF implementation for the next generation beyond 7nm node.
Double patterning at NA 0.33 versus high-NA single exposure in EUV lithography: an imaging comparison
As minimum feature size shrinks to a metal pitch of 21 nm, the current extreme ultra violet (EUV) lithographic tool with a numeric aperture (NA) of 0.33 will face resolution limit for some critical layers. High NA (0.55) EUV with anamorphic optics or EUV double patterning (DP) at 0.33 NA are being considered for the next generation of lithographic technology. Both the high NA EUV system and EUV DP will enhance resolution relative to current EUV single patterning (SP). Nevertheless, in order to be able to compare EUV DP and High NA EUV processes, important lithographic factors including image contrast, mask three dimension (M3D) effects, process variation band, stochastic effects and local critical dimension uniformity need to be investigated to understand their contributions to process variations. This study was carried out using rigorous lithographic model simulations in Sentaurus Lithography, where strong M3D effects in EUVL are computed physically. We have simulated patterns with both isomorphic and anamorphic optical proximity corrections (OPC) using the rigorous model. The study focuses on 3nm node Via layer designs. These vias need to connect to metal features which have pitches of 21 nm. Simulation results using 0.33 NA SP, 0.33 NA DP, and 0.55 NA anamorphic SP are presented. The benefit of using an alternative mask absorber and a thinner resist as well as the impact of stochastic effects have also been explored. Although a 0.55 NA EUV is expected to produce a superior image to 0.33 NA EUV and to have less impact from overlay errors and stochastic effects, an analysis of process margins of 0.33 NA EUV SD and DP versus 0.55 NA anamorphic systems helps to better understand the benefits, challenges and optimal insertion point for introducing High-NA EUV.
Model based high NA anamorphic EUV RET
With the announcement of the extension of the Extreme Ultraviolet (EUV) roadmap to a high NA lithography tool that utilizes anamorphic optics design, an investigation of design tradeoffs unique to the imaging of anamorphic lithography tool is shown. An anamorphic optical proximity correction (OPC) solution has been developed that models fully the EUV near field electromagnetic effects and the anamorphic imaging using the Domain Decomposition Method (DDM). Clips of imec representative for the N3 logic node were used to demonstrate the OPC solutions on critical layers that will benefit from the increased contrast at high NA using anamorphic imaging. However, unlike isomorphic case, from wafer perspective, OPC needs to treat x and y differently. In the paper, we show a design trade-off seen unique to Anamorphic EUV, namely that using a mask rule of 48nm (mask scale), approaching current state of the art, limitations are observed in the available correction that can be applied to the mask. The metal pattern has a pitch of 24nm and CD of 12nm. During OPC, the correction of the metal lines oriented vertically are being limited by the mask rule of 12nm 1X. The horizontally oriented lines do not suffer from this mask rule limitation as the correction is allowed to go to 6nm 1X. For this example, the masks rules will need to be more aggressive to allow complete correction, or design rules and wafer processes (wafer rotation) would need to be created that utilize the orientation that can image more aggressive features. When considering VIA or block level correction, aggressive polygon corner to corner designs can be handled with various solutions, including applying a 45 degree chop. Multiple solutions are discussed with the metrics of edge placement error (EPE) and Process Variation Bands (PVBands), together with all the mask constrains. Noted in anamorphic OPC, the 45 degree chop is maintained at the mask level to meet mask manufacturing constraints, but results in skewed angle edge in wafer level correction. In this paper, we used both contact (Via/block) patterns and metal patterns for OPC practice. By comparing the EPE of horizontal and vertical patterns with a fixed mask rule check (MRC), and the PVBand, we focus on the challenges and the solutions of OPC with anamorphic High-NA lens.
Impact of aberrations in EUV lithography: metal to via edge placement control
Lianghong Yin, Ananthan Raghunathan, Germain Fenger, et al.
In previous work, we have described how EUV scanner aberrations can be adequately simulated and corrected in OPC across the slit to deliver excellent edge placement control. The problem is that the level of aberration variability from tool to tool is currently quite significant and leads to uncorrectable edge placement errors if OPC is done using one tool while exposure happens on a different tool. In this study, we examine the impact of such edge placement errors for single patterning EUV exposure of metal and via layers with variable aberrations in projection lens systems. Two-layer combined CD and overlay edge placement hotspots can be compounded by aberrations which impact CDs and image shifts, and do so differently depending upon design pattern and pupil fill. Aberration values from current 3300 / 3350 EUV scanners are used and compared to hypothetical ideal tool with no aberrations and demonstrate very significant uncorrectable edge placement errors with current aberrations levels. The net result is a significant reduction in the metal-via combined CD-overlay process window.
EUV Optics
icon_mobile_dropdown
The future of EUV lithography: continuing Moore's Law into the next decade
Jan van Schoot, Kars Troost, Frank Bornebroek, et al.
While 0.33NA EUV systems are readying to start volume manufacturing, ASML and Zeiss are ramping up development activities on a 0.55NA EUV exposure tool, extending Moore’s law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the NA; this lens will be paired with new, faster stages and more accurate sensors and the tight focus and overlay control needed for future process nodes. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of ASML’s next generation EUV systems.
Diffuser concepts for in-situ wavefront measurements of EUV projection optics
Mark van de Kerkhof, Robbert Jan Voogd, Ad Schasfoort, et al.
With the introduction of the NXE:3400B scanner, ASML has brought EUV to High-Volume Manufacturing for sub10nm node lithography. And work has already been started on a successor high-NA system with NA=0.55. For both these systems, node resolution will go down faster than NA increases, resulting in decreasing k1-factors and tightening of aberration requirements. A crucial component for measuring and controlling aberrations in-situ is a diffuser to fill the full pupil of the projection optics appropriately.

This paper presents several new diffuser concepts, both reflective as well as transmissive, with their respective key performance metrics for both NA=0.33 and NA=0.55 EUV projection optics. These concepts can be used for measuring wavefront quality from dedicated fiducial plates, or for measuring directly from the imaging reticle. The latter would enable a combination of reticle alignment with lens aberration control without throughput penalty.

It will be shown that with these diffuser concepts, we have a solution for in-situ aberration control for 5nm nodes and below.
Speckle metrology for extreme ultra-violet lithography
Stochastic effects in extreme ultraviolet lithography are contributed by the EUV optical speckle and diffusion chemistry of the photoresist. These cause line edge roughness (LER) in the etched features, shrinking the process window at the sub-20nm lithography node. We explore possibilities of utilizing the speckle for optical metrology and resist characterization by measuring the latent image of the EUV light on photoresist. The latent image on a standard photoresist measured using atomic force microscopy is shown to linearly depend on the aerial image intensity within a specific dose range, hence serving as an in-situ imaging modality to measure the EUV aerial image without a camera. Potential applications include EUV wavefront measurement, resist characterization, and LER engineering.
Patterning and Etch for EUV: Joint session with conferences 10583 and 10589
icon_mobile_dropdown
Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers
Stéphane Larivière, Christopher J. Wilson, Bogumila Kutrzeba Kotowska, et al.
The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore’s law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations.

Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers.

In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.
Inorganic hardmask development for EUV patterning
Anuja De Silva, Ashim Dutta, Luciana Meli, et al.
Extreme ultra violet (EUV) patterning offers an opportunity to explore new hardmask materials and patterning approaches. Traditional patterning stacks for Deep UV (DUV) patterning have been based on optimizing multi-layer schemes for reflectivity control and pattern transfer. At EUV wavelength, the patterning challenges are dominated by stochastics and aspect ratio control. This offers an opportunity to think differently about underlayer design for sub-36nm pitch patterning. The choice of hardmask can be used to modulate post-litho defectivity to mitigate the stochastics effects and enable more efficient pattern transfer. Through different case studies this paper will explore a range of silicon-based inorganic hardmasks for sub36nm EUV patterning. How film properties dominate patterning performance will be studied systematically. The relative merits of patterning a chemically amplified organic resist directly on an inorganic hardmask or having different types of organic adhesion promoters as an intermediate layer will be also be presented.
RET II
icon_mobile_dropdown
Self-aligned block and fully self-aligned via for iN5 metal 2 self-aligned quadruple patterning
Benjamin Vincent, Joern-Holger Franke, Aurelie Juncker, et al.
This paper assesses Self-Aligned Block (SAB) and Fully Self-Aligned Via (FSAV) approaches to patterning using a iN5 (imec node 5 nm) vehicle and Metal 2 Self-Aligned Quadruple Patterning. We analyze SAB printability in the lithography process using process optimization, and demonstrate the effect of SAB on patterning yield for a (8 M2 lines x 6 M1 lines x 6 Via) structure. We show that FSAV, compared to standard Via patterning, has no beneficial impact but prevents dielectric breakdown between two adjacent M1 lines.
EUV contact-hole local CD uniformity optimization for DRAM storage node application
LCDU (Local Critical Dimension Uniformity) is one of the biggest challenges in EUV lithography as well as throughput. High contrast illumination, so called, leaf hexapole illumination is proposed for staggered contact-hole array pattern. Leaf hexapole illumination shows much better LCDU compared with traditional hexapole illumination which has been used in DUV lithography so far. Stochastic noise model[1] which was developed based on the particle nature of photon is updated to supplement a missing term. Model prediction is well matched with experimental results in wide range of wafer CD and mask CD. Further optimization of LCDU and/or dose-to-size can be predicted through mask CD optimization. By using illumination optimization and mask CD optimization technique, EUV single exposure process can be applied below D1z node or beyond.
Illumination source optimization in EUV lithography for staggered contact holes and pillars for DRAM applications
Jo Finders, Ziyang Wang, John McNamara, et al.
Illumination source optimization is a very fundamental task in wafer lithography. By optimizing the incidence angles at the reticle, the combined diffraction behavior of mask and projection optics can be modified. One of the most critical parameter to control in EUV lithography is contrast at best and through focus as this drives the stochastic effects. In this work, we will look at the illumination source optimization for staggered CH and pillars for DRAM applications driven by fundamental considerations at diffraction level.
A comparison of different methods of characterizing EUV photoresist shrinkage
Ramya Viswanathan, Scott Mansfield, Wenxin Li, et al.
The efficacy of OPC models depends on the ability to determine the physical dimensions of a large variety of photoresist patterns. This ability is impacted by a long observed phenomenon, photoresist shrinkage during CD-SEM metrology. As technology dimensions have scaled, the ability to directly measure the photoresist physical dimensions have diminished, while the need to reduce sources of uncertainty and error have increased. It has therefore become imperative to find other techniques to characterize this effect. In this paper, we compare methods of characterizing photoresist shrinkage of patterns using both etch-based and metrology-based approaches.
EUV Mask
icon_mobile_dropdown
EUV mask lifetime testing using EBL2
Chien-Ching Wu, Edwin te Sligte, Herman Bekman, et al.
EBL2 is TNO's platform for EUV exposure testing and surface analysis. EBL2 is capable of generating conditions relevant to EUV mask operation at all foreseen source power nodes. The authors describe how TNO performs a customized (accelerated) lifetime test on EUV masks. The required gas, EUV, and thermal parameters will be considered, and related to simulated and measured performance of EBL2. This approach can also be applied to EUV pellicles and optics.
Aerial image based metrology of EUV masks: recent achievements, status, and outlook for the AIMS EUV platform
Renzo Capelli, Dirk Hellweg, Martin Dietzel, et al.
For upcoming EUV high volume manufacturing, the EUV mask infrastructure plays a central role for its successful introduction. One of the key items in the EUV mask infrastructure is the need of manufacturing defect free photomasks for which an actinic mask review capability is a critical success factor. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology system, the AIMS™ EUV. In this paper we present the latest achievements of this AIMS™ EUV platform together with data and analysis of LER/LWR measurements in the aerial image. We provide an overall project overview and discuss possible future extensions options based on this actinic metrology platform.
Attenuated PSM for EUV: Can they mitigate 3D mask effects?
The understanding, characterization and mitigation of 3D mask effects including telecentricity errors, contrast fading and best focus shifts becomes increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. The scattering of light at the absorber edges results in significant phase deformations, which impact the effective phase and the lithographic performance of attenuated phase shift mask (attPSM) for EUV. We employ rigorous mask and imaging simulations in combination with multi-objective optimization techniques to identify the most appropriate material properties, mask and source geometries and to explore the potential of attPSMs for future EUV imaging.
EUVL back-insertion layout optimization
D. Civay, E. Laffosse, A. Chesneau
Extreme ultraviolet lithography (EUVL) is targeted for front-up insertion at advanced technology nodes but will be evaluated for back insertion at more mature nodes. EUVL can put two or more mask levels back on one mask, depending upon what level(s) in the process insertion occurs. In this paper, layout optimization methods are discussed that can be implemented when EUVL back insertion is implemented. The layout optimizations can be focused on improving yield, reliability or density, depending upon the design needs. The proposed methodology modifies the original two or more colored layers and generates an optimized single color EUVL layout design.
Impact of EUV mask absorber sidewall angle on patterning robustness
Lawrence S. Melvin III, Yudhishthir Kandel, Tim Fühner, et al.
Investigations into extreme ultraviolet lithograph (EEUVL) image formation of horizontal (perpendicular to the illumination angle) features have found asymmetric aerial images. This is an expected outcome of the off-axis chief ray angle (CRA)) illumination in the EUV lithography system. The asymmetry arises from interference effects in the mask multilayer stack as well as interactions with the mask absorber. The aerial image arriving at the wafer displays an asymmetric shape, which contributes too a reduced contrast on the dark-side absorber, while the light-side absorber contrast remains sharp. This is not the preferred situation as the low-contrast dark-side can lead to reduced imaging robustness during manufacturing.

Preliminary studies show that mask absorber sidewall angle (SWA) impacts pattern formation partially through aerial image asymmetries. The light and dark-side of the absorbers form a standing wave in the gap between them due to absorber side wall reflection and corner scattering. The absorbers’ standing waves further interact with the standing waves from the mask stack. Optimizing the absorber SWA is hypothesized to improve contrast thereby improving patterning robustness.

This study investigated the impact of absorber SWA on aerial image shape using simulation. The study was designed to understand if an optimal SWWA exists that improves patterning robustness in a manufacturing environment. CD, contrast, focus response, and other data were gathered and presented to understand the impact of SWA on patterning. From these simulated data, the possibility of an optimum SWA was explored.
Special Session: Three Points on Shot Noise, 100 Years Later
icon_mobile_dropdown
Shot noise: A 100 year history, with applications to lithography
The term “shot effect” (schroteffekt) was coined in 1918 when Walter Schottky studied electrical noise in vacuum tubes. Earlier still, the foundations of shot noise theory go back to Einstein, who in 1905 explained the photoelectric effect as caused by discrete part particles of light and Brownian motion as caused by discrete particles of matter. When the numbers of particles that affect observable outcomes is large, shot noise effects (variability in number as a fraction of the mean number) becomes small and the continuum approximation (energy and matter are continuous) becomes accurate. For most of the history of semiconductor lithography, the continuum approximation has served well. But at small dimensional scales, where the number of discrete particles or events is small, the counting statistics of shot noise can dominate. The 100 year history of shot noise in science and engineering is today playing a role in our understanding of shot noise in lithography.
EUV Source
icon_mobile_dropdown
High-power LPP-EUV source with long collector mirror lifetime for high volume semiconductor manufacturing
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. We have developed first practical source for HVM; “GL200E” 17) in 2014. We have proved high average power CO2 laser more than 20kW at output power cooperate with Mitsubishi electric cooperation16). Pilot#1 is up running and its demonstrates HVM capability; EUV power recorded at111W average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22hours operation in October 201621). Recently we have demonstrated, EUV power recorded at113W in burst stabilized (85W in average, 75% duty), with 5% conversion efficiency during 143hours operation. Also the Pilot#1 system recorded 64% availability and idle time was 25%. Availability is potentially achievable at 89% (2weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= -0.5%/Gp) above 100W level operation with dummy mirror test22) . Very low degradation (= - 0.4%/Gp) of actual collector mirror reflectance has been demonstrated above 100W level operation (in burst) with magnetic mitigation EUV source.
Increasing EUV source efficiency via recycling of radiation power
Ahmed Hassanein, Valeryi Sizyuk, Tatyana Sizyuk, et al.
EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.
Study of Sn removal by surface wave plasma for source cleaning (Conference Presentation)
Gianluca A. Panici, Dren Qerimi, David N. Ruzic
A hydrogen plasma cleaning technique to clean Sn (tin) off EUV collector optics is studied in detail. The cleaning process uses hydrogen radicals and ions (formed in the hydrogen plasma) to interact with Sn-coated surfaces, forming SnH4 and being pumped away. This technique has been used to clean a 300mm-diameter stainless steel dummy collector optic, and EUV reflectivity of multilayer mirror samples was restored after cleaning Sn from them, validating the potential of this technology. This method has the potential to significantly reduce downtime and increase source availability. Thus, an investigation into the fundamental processes governing Sn removal has been performed. These experiments have shown that the Sn etch rates scale with hydrogen ion energy at the surface. Incident ions upon the surface impart energy that weakens the Sn-Sn bond allowing the chemical etch by hydrogen to proceed at a faster rate. Due to this the plasma is able to be in a reactive ion etch (RIE) regime. A concern for plasma based methods is the implantation of high energy hydrogen ions into the MLM, reducing reflectivity and possibly blistering. With a surface wave plasma (SWP) this concern is alleviated somewhat because of lower ion energies. Surface wave plasmas have lower electron temperatures than conventional sources in the range of 1 to 3 eV. In addition, SWP sources result in plasma densities on the order of 1011-12 cm-3, allowing for greater utilization of ion etch enhancement. Etch rates over 50 nm/min have been seen in the past. Preliminary results from experiments performed on the Illinois NXE:3100 chamber will be discussed.
Modeling of emission of particle debris from ablation of the tin target for the laser produced plasma extreme ultra-violet light source (Conference Presentation)
Akira Sasaki
Emission of particle debris from the target of laser pumped plasma (LPP) extreme ultra-violet (EUV) light source is of the interest because that causes the contamination of the collector mirrors [1]. More recently, a high output power and efficiency has been achieved using the double pulse technique. Firstly, by irradiating the target with a short (ps) pre-pulse laser, a cloud of particles is produced. Secondly, the particles are irradiated by main CO2 laser, which absorb the energy efficiently to produce plasmas with an appropriate density and temperature for the EUV emission [2]. We develop a hydrodynamics simulation code based on the Lagrangian grid. We develop algorithms of reorganization of mesh dynamically according to the distribution of the material. The method allows one to calculate the dynamics of gas bubbles in the liquid phase and clusters in gas phase with a macroscopic scale (>100nm) [3]. Furthermore, the liquid-to-gas transition are taken into account and the ratio between liquid and gas phase for given temperature and density of the material are reproduced based on the Van-der-waals equation of state [4]. We investigated the temporal evolution of the density of a tin cylinder heated by a rate of 2.5 and 6 x 1012 W/mol. A critical heating rate is suggested below which the emission of particle debris takes place. It is shown that in the case of small heating rate, initially small bubbles appear, which grow in numbers and sizes, and eventually break the target into particles. In the case of large heating rate, target expands uniformly because the temperature of the target increases rapidly above the critical temperature. References [1] V. Bakshi, et., EUV Sources for Lithography (SPIE press, 2005). [2] A. Endo, proceedings of the 2012 EUV source workshop, http://www.euvlitho.com. [3] K. Tomita, et al., Appl. Phys. Express, 8, 126101 (2015). [4] D. A. Young, Phys. Rev. A, 3 364 (1971).
A tabletop coherent EUV source for commercial EUVL metrology and imaging applications
Xiaoshi Zhang, Jon Garlick, Eric Mountfort, et al.
We present performance characterization for KMLabs’ high harmonic generation (HHG) -based 13.5 nm EUV source, the XUUS4 TM. This source meets critical specifications for metrology and imaging applications, including in its long-term stability, and has been applied to ultrahigh resolution coherent diffractive imaging at 13.5 nm.
Effects of chamber conditions on EUV source efficiency and optical system performance during high-frequency operation
Tatyana Sizyuk, John Oliver, Weirong Yuan
Buffer gases, used for protection and cleaning of the optical system in the EUV source chamber, as well as the amount of residual vapor and fine mist due to the required high frequency operation for the high-volume manufacture (HVM) may affect the source performance and mitigating system efficiency. Interplay of injected gas flow and evolving Sn plasma/vapor should be studied in conditions closely related to laser produced plasma (LPP) chamber environment.

We expanded and enhanced our models implemented in the HEIGHTS package to simulate LPPs in mixture environment of vapor/plasma created from Sn droplet and the background buffer gas (e.g., Ar) at various pressures. Our integrated models allowed self-consistent simulation of EUV produced and EUV induced plasma evolution in the entire chamber.

We studied tin plasma evolution in single and dual pulse systems in conditions of Ar residual background gas at 3 and 30 Pa pressure. Details of Ar plasma induced by EUV photons were analyzed to predict conditions near mirror surfaces that could change performance of the surface layers and reflectivity of the collecting optical system. Processes of mixture and two plasmas expansion and cooling from both the pre- and the main pulse were simulated for the first time to predict chamber conditions at the next iteration of target/lasers coupling and interaction.
EUV Mask Defectivity
icon_mobile_dropdown
CNTs in the context of EUV pellicle history
In the early 2000s, membranes both thin enough to transmit EUV light and strong enough to be free-standing at mask dimensions did not exist. The lithography community assumed that defect control for photomasks would be achieved, not with a pellicle, but with a clean scanner environment, thermophoretic protection and a removable pellicle.1 In 2006, Intel published their research on an EUV pellicle.2 Since then, an international development effort on EUV pellicle membranes has spanned a range of materials and fabrication approaches. Not only materials, but also the requirements of the EUV pellicle membrane have evolved over time. Imec’s pellicle work based on carbon nanotubes (CNTs) started in 2015, and is placed in relation to the rich history of EUV pellicles. CNTs are one-atom-thick carbon sheets rolled into tubes. The CNTs can be single- or multi-walled and can vary in diameter and in length. These engineered CNTs can be arranged in different configurations to form membranes of different densities. Thus, the CNT membrane’s properties can be fundamentally changed to meet the EUV pellicle targets for properties like transmittance. The historical trends in EUV pellicle membrane development are presented and the CNT membranes are described in that context.
Printability estimation of EUV blank defect using actinic image
Printability estimation of blank defects on an extreme ultraviolet (EUV) mask was examined by means of actinic darkfield imaging. A dedicated mask containing 64-nm line and space pattern was fabricated on a blank with known native blank defects. Actinic dark-field images of the defects on the patterned mask were obtained, and the defect signal intensities through focus were measured. The mask was printed through focus onto a wafer with an ASML NXE3300, and the wafer critical dimension (CD) deviations caused by the defect were obtained. A significant relationship is shown between the defect signal intensity and the wafer CD deviation, corresponding well with simulations. It was thus demonstrated that the wafer CD deviation can be estimated via the defect signal intensity on the mask in the actinic darkfield image.
Actinic EUV scatterometry for parametric mask quantification
Stuart Sherwin, Andrew Neureuther, Patrick Naulleau
There are many applications where fast, accurate light scattering from EUV photomasks must be computed, including inverse mask design, actinic die-to-database inspection, and actinic scatterometry. However, so-called mask 3D effects make this calculation much more challenging than traditional optical lithography. These 3D effects arise from the optically thicker absorber, the lack of illumination symmetry about normal incidence, the multilayer mirror reflection function, and multiple scattering off the absorber. In this paper, we explore using actinic scatterometry at the CXRO EUV reflectometer to characterize both the multilayer and absorber of an EUV photomask; we then introduce the Multilayer Multiple Scattering (MLMS) mathematical model that conveniently separates the effects of the multilayer and the absorber and explore the implications of this model on the origins of mask 3D effects.
A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks
S. Fernandez, D. Kazazis, R. Rajeev, et al.
For EUV photomasks, high-k absorber materials represent a potential strategy to effectively mitigate mask 3D effects which are getting more prominent as the scanners’ NA increases. The performance of RESCAN, our actinic lensless imaging microscope is evaluated through three different absorber materials (HSQ, TaBN, and Ni) together with the imaging properties of the materials themselves. Defect maps for each material are analyzed and compared.
Through-pellicle inspection of EUV masks
Iacopo Mochi, Rajendran Rajeev, Patrick Helfenstein, et al.
RESCAN is a metrology platform, currently under development at Paul Scherrer Institut to provide actinic inspection capability for EUV reticles. It is a lensless microscope and its defect detection protocol is based on coherent diffraction imaging. One of the key features of an actinic pattern inspection tool is the ability to operate on reticles protected by an EUV pellicle. Thanks to the absence of imaging optics in close proximity of the sample, there are no geometrical constraints preventing the inspection of a pellicle-protected reticle in RESCAN. Nevertheless, the defect detection sensitivity depends on the quality of the reconstructed images and it is therefore important to assess if and how these are affected by the presence of an EUV pellicle. We report here the results of an evaluation of the effects of different types of EUV pellicles on the reconstructed images. We observed that high-absorption silicon nitride pellicles significantly reduce the imaging quality whereas in the case of the CNT-based pellicles the imaging performance was not affected. We also observed no damage of the CNT-based pellicle. To our knowledge, this work is the first successful attempt to perform mask inspection through EUV pellicles.
EUV Resist Roughness
icon_mobile_dropdown
Population statistics of EUV printed MOx resist features (Conference Presentation)
Peter de Schepper, Jason K. Stowers, Michael Greer, et al.
The viability of EUV lithography depends upon the accurate placement of hundreds of billions of features per field with critical dimensions less than 30 nm using a minimal photon count. In this photon-limited regime, resist absorbance, radiochemical blur, and nanoscale homogeneity have important impacts on stochastic variability and device yield not captured by standard resist characterization in terms of resolution, line-edge roughness and sensitivity (RLS). Multiple studies have shown that low-probability printing failures critical to device yield are not accurately modeled by 7σ extrapolations from the small populations of features commonly analyzed to extract RLS parameters. Inpria continues to advance the development of high-resolution photo-patternable metal oxide (MOx) hardmasks specifically designed to address these beyond-RLS requirements, and herein we examine the population statistics of large numbers of MOx resist pillars printed on a NXE 3300B scanner. Published experimental lithography data on large numbers of EUV-printed features is limited, even for conventional chemically amplified resists (CARs). Accurate metrology on many millions of features is a resource intensive proposition which partially explains the relative scarcity of these critical data. To address this deficiency, a metrology protocol for analysis of >10 million contact holes or pillars has been developed and applied to features printed using Inpria MOx resists. The stochastic variability of multiple large pillar populations is analyzed as a function of exposure conditions, resist, and process chemistry. By comparing these experimentally observed populations with contact hole populations derived from conventional chemically amplified resists (CARs) the stochastic limits of the respective resist chemistries are probed.
Stochastic effects in EUV lithography
This paper focusses on stochastic printing failures, such as microbridges in spaces or randomly missing contacts. We quantify such failures with a metric we call NOK (not OK), a metric that essentially represents the failure probability. We measure this NOK-quantity from SEM image analysis, using an in-house software package called Stochalis. We will argue that the most fundamental dependency of this failure probability is its CD dependency: NOK(CD). Using currently available CD-SEM or e-beam inspection tools, it is now possible to measure this NOK(CD) dependency down to the ppm-ppb level. This is not sufficient to prove or disprove yield, but the NOK(CD) function is an excellent tool to compare materials and conditions, i.e. to quantify sensitivities to patterning conditions and show directions for improvement. We will illustrate this with examples on the impact of dose, pitch, resist, etch and illumination mode. We will also show how CD non-uniformities, from very local to global, further affect the local failure probabilities. Finally we will argue that stochastic failure probabilities and CD-non-uniformities together, place practical resolution limits both on CD and on pitch. These limits are not absolute (which is why we call them ‘practical limits’) as they depend on the patterning settings and materials used, but they nevertheless need to be considered very carefully when setting up EUVL processes.
High-resolution EUV lithography using a multi-trigger resist
C. Popescu, D. Kazazis, A. McClelland, et al.
As minimum lithographic size continues to shrink, the development of techniques and resist materials capable of high resolution, high sensitivity and low line edge roughness (LER) have become increasingly important for next-generation lithography. In this study we present results where the behaviour of the resist is driven towards the multi-trigger regime by manipulating the resist formulation. We also present results obtained after enhancements of the base molecule to give high resolution, better LER, and a significant sensitivity enhancement of 40% over the standard material. Finally, we present the inclusion of non-metallic high-Z elements into the formulation to allow for a further reduction in LER at the same resolution and sensitivity as seen for the enhanced MTR molecule, indicating a direction for further improvements.
Constructing a robust PSCAR process for EUV
Michael Carcasi, Seiji Nagahara, Gosuke Shiraishi, et al.
In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8.

PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only.

Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.
Systematic assessment of the contributors of line edge roughness in EUV lithography using simulations
Anindarupa Chunder, Azat Latypov, John J. Biafore, et al.
Edge position variation in EUV patterns is significantly affected by stochastic phenomena that occur during the EUV exposure and the chemical processes in photoresist. Hence, it is important to understand and quantify the contribution of each of the stochastic effects to the edge roughness. In this work, various computational approaches are used based on the rigorous stochastic resist model in order to assess the stochastic contribution of photon absorption and random chemical reactions in EUV photoresist.

The simulation results are presented for both the traditional chemically amplified EUV resists and resists utilizing alternative mechanisms of image formation, such as metal based- resists.
Novel EUV Resist Concepts
icon_mobile_dropdown
Sensitization and reaction mechanisms of ZrO2 nanoparticle resist used for extreme-ultraviolet lithography (Conference Presentation)
Takahiro Kozawa, Satoshi Ishihara, Hiroki Yamamoto, et al.
The performance of chemically amplified resist is approaching its physical limit with the reduction of feature sizes due to the acid diffusion needed for the solubility change of resist polymer. The line edge roughness (LER) of chemically amplified resists rapidly increases in the sub-10-nm-half-pitch region when the half-pitch is decreased. Also, the stochastic defect (pinching and bridges) generation is a significant concern for the high resolution patterning with high throughput. To solve these problems, the increase of the density of resist films is an important strategy. Metal oxide nanoparticle resists have attracted much attention as the next generation resist used for the high-volume production of semiconductor devices because of their high density property. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In this study, the sensitization mechanism of ZrO2 nanoparticle resist was investigated. The numbers of electron-hole pairs required for the solubility change of the resist films were estimated for a ZrO2 nanoparticle and a ligand shell, respectively. The radiation chemistry of ligands was investigated using a pulse radiolysis method. The pulse radiolysis is a powerful method to directly observe the kinetics of short-lived intermediate produced by an ionizing radiation. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in nanoparticles for the chemical change of ligand molecules. Acknowledgement This work was partially supported by Ministry of Economy, Trade and Industry (METI) and the New Energy and Industrial Technology Development Organization (NEDO).
EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning
Extreme ultraviolet (EUV) lithography, using 13.5 nm radiation, is considered one of the most prominent candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap1. Over the past few years, our main effort has been to focus on ZrO2 and HfO2 nanoparticle-based photoresists. However, both Zr and Hf are relatively low EUV absorbing metals2, and integration of high EUV absorption elements is considered to be a more promising route to further improve lithographic performance under EUV radiation. Here, we demonstrate novel zinc oxide-based nanoparticle photoresists, possessing small particle size, good solubility in spin-coating solvents, good film-forming abilitie and patterning by incorporating a photo-acid generator or photo-radical generator.
Evaluation of high-resolution and sensitivity of n-CAR hybrid resist for sub-16nm or below technology node
Satinder K. Sharma, Mohamad Ghulam Moinuddin, Pulikanti Guruprasad Reddy, et al.
MAPDST (4-(methacryloyloxy)phenyl)dimethylsulfoniumtrifluoromethanesulfonate)) based resist analogous are reported to pattern high-resolution nano features (20 nm) under wide range of lithography tools including electron beam lithography (EBL), extreme ultraviolet lithography (EUVL), 193 nm immersion lithography etc. However, these resists have not yet patterned lower node features, especially at sub-15 nm regime with ultra-low line edge roughness (LER) and line width roughness (LWR). One of the methods to improve the resolution of a resist is the structural modification. Towards this, we have developed two new hybrid copolymer resists i.e MAPDST-co-ADSM and MAPDST-co-TPMA by the copolymerization of radiation sensitive organic MAPDST with hybrid tin monomers ADSM and TPMA (ADSM = acetyldibutylstannyl methacrylate; TPMA = triphenyl tin methacrylate) for high-resolution EBL applications. The developed resists were studied for their sub-15 nm line patterns with low LER and LWR features. Various line features, starting from 30-12 nm with different line/space (L/S to L/10S) characteristics were studied at various e-beam doses 200- 1200 μC/cm2. Isolated 12 nm line features have been achieved with the resist MAPDST-co-ADSM at a dose 1200 μC/cm2. Meanwhile, the MAPDST-co-TPMA resist patterned 15 nm features at the dose 700 μC/cm2. The estimated sensitivity and contrast of resists MAPDST-co-ADSM and MAPDST-co-TPMA were 1.60; 450 μC/cm2 and 1.55; 380 μC/cm2 respectively. Similarly, the computed LER and LWR parameters exhibited by the resists MAPDST-co-ADSM and MAPDST-co-TPMA for sub-30 nm features were 0.99; 1.22 and 1.8; 3 nm respectively. The e-beam studies revealed a resolution enhancement of the hybrid resists at 12 nm regime as compared to the neat poly(MAPDST) resist (where the resist resolution was 20 nm) indicating improvements in the lithography properties of these resists.
Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking
Theodoros Manouras, Dimitrios Kazazis, Eleftherios Koufakis, et al.
The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.
Poster Session
icon_mobile_dropdown
Extreme ultraviolet mask multilayer material variation impact on horizontal to vertical pattern bias
Lawrence S. Melvin, Yudhishthir Kandel, Qiliang Yan, et al.
Multilayer unit thickness variations in the multilayer extreme ultraviolet (EUV) reflector stack pose a difficult problem for generation of lithographic models for use in Optical Proximity Correction (OPC). The multilayer stack is ideally comprised of alternating layers of molybdenum and silicon. However, there are diffused interface regions between these layers that might have slight variations in thickness, reflectance, and absorption. These interface regions can differ within specified parameters based on variations in the deposition tool, resulting in EUV masks with minor differences in the multilayer. This is a difficult problem for OPC models, because slight variations in the multilayer can result in large variations in the feature printed on the wafer. Also, these stack variations are not precisely known for every reticle, rather a sample stack is used to gather data from a cross section of a representative reticle.

This study explores the relationship between EUV mask stack reflectivity and horizontal to vertical pattern bias. In this computational study, the MoSi2 thickness is varied at systematic locations in the mask stack, then data on horizontal to vertical bias (H to V bias) for multiple features are gathered. The data will be used to understand the relationship between mask substrate reflectance, mask material thickness, and H to V bias. The study will also investigate the impact of high numerical aperture (0.55 NA anamorphic) imaging on the final H to V bias. Initial work indicates that a 1% variation in substrate reflectance results in approximately a 4% variation in CD.
Low-stress and high-reflectance Mo/Si multilayers for EUVL by magnetron sputtering deposition with bias assistance
Bo Yu, Liping Wang, Hailiang Li, et al.
To explore the potential of achieving low-stress and high-reflectance Mo/Si multilayers deposited by conventional magnetron sputtering with bias assistance, we investigated the effects of varying Ar gas pressure, substrate bias voltage and bias-assisted Si ratio on the stress and EUV reflectance of Mo/Si multilayers. To reduce the damage of ion bombardments on Si-on-Mo interface, only final part of Si layer was deposited with bias assistance. Bias voltage has strong influence on the stress. The compressive stress of Mo/Si multilayers can be reduced remarkably by increasing bias voltage due to the increase of Mo-on-Si interdiffusion and postponement of Mo crystallization transition. Properly choosing gas pressure and bias-assisted Si ratio is critical to obtain high EUV reflectance. Appropriately decreasing gas pressure can reduce the interface roughness without increasing interdiffusion. Too much bias assistance can seriously reduce the optical contrast between Mo and Si layers and lead to a remarkable decrease of EUV reflectance. Thus, by appropriately choosing gas pressure, bias voltage and bias-assisted Si ratio, the stress values of Mo/Si multilayers can be reduced to the order of -100 MPa with an EUV reflectance loss of about 1%.
Patterning mechanism of metal based hybrid EUV resists
Vasiliki Kosma, Kazuki Kasahara, Hong Xu, et al.
EUV lithography is nowadays considered as one of the most feasible choices for high volume manufacturing. In this work, we wish to report a series of studies aiming at shedding more light on the development mechanism of metal based EUV hybrid photoresists. We have studied zirconium (Zr) and hafnium (Hf) based hybrid resists which have shown high sensitivity, they suffer though from scumming issues. On the other hand, our clusters based on zinc (Zn) which absorbs strongly in EUV seem to be free of scumming but still Zr and Hf outperform in terms of sensitivity. In an effort to understand better what controls sensitivity and scumming phenomena we have employed a combination of analytical techniques (Electrospray ionization mass spectrometry ESI-MS, X-ray photoelectron spectroscopy XPS, and Fouriertransform infrared spectroscopy FT-IR) to study the patterning mechanism in detail, in order to be able to optimize the development process and develop systems with optimal features.
Resist coating and developing process technology toward EUV manufacturing sub-7nm node
Yuya Kamei, Takahiro Shiozawa, Shinichiro Kawakami, et al.
Extreme ultraviolet lithography (EUVL) is getting closer to practical use for mass production every year. For applying EUV lithography to manufacturing, productivity improvement is a critical challenge. Throughput and yield are important factors for productivity. EUV source power is steadily advancing year by year, bringing improvements in throughput. Furthermore, yield improvement is necessary for productivity enhancement. In order to improve the yield in EUV lithography processing, further improvement of defectivity and critical dimension (CD) uniformity is required. One of the initial layers to be printed with EUV will be contact hole, therefore, we are concentrating on the productivity improvements of that layer.

In our report at SPIE 2017, defect reduction was achieved using the latest rinse technology in the development process and in-film defectivity was improved with material dispense optimization on a 24 nm contact hole (CH) pattern. On the basis of the knowledge acquired from the previous evaluation, improvements have been taken a step further in this next evaluation. As a result, 96% of residue defect reduction and 42% of in -film particle defect reduction has been achieved by further rinse optimization and improvement of dispense system.

For the other aspect of yield improvement, CD uniformity control is one of the crucial factors. CD variations are comprised of several components such as wafer to wafer CD uniformity, field to field CD uniformity. To achieve CD uniformity target for manufacturing, we have optimized developing process with the latest technology. Then, 15% of field to field CD uniformity improvement and significant improvement of wafer to wafer CD uniformity are achieved.
Chemically amplified EUV resists approaching 11nm half-pitch
Zuhal Tasdemir, Michaela Vockenhuber , Iacopo Mochi, et al.
As EUV lithography moves toward high-volume manufacturing phase, one of the key factors determining the throughput and yield is the resist performance, i.e. resolution, sensitivity, and line-edge roughness. At Paul Scherrer Institute (PSI), we extensively work on EUV resist performance issues. For this purpose, we use the PSI’s EUV interference lithography (IL) tool in which a coherent beam with 13.5 nm wavelength is used to produce a well-defined periodic aerial image with virtually 100% contrast and large depth-of-focus. In this study, we report our recent results on the printability of high-resolution lines/spaces pattern down to 11 nm half-pitch (HP) with a chemically amplified resist (CAR). Although we demonstrate well-resolved 11 nm HP patterning, further improvement of the CAR’s performance in terms of pattern collapse and line-edge roughness is needed. Moreover, these results are achieved with EUV-IL tool which has a fundamentally different aerial image formation than the NXE scanner. Although EUV-IL is a powerful tool to evaluate the resist’s ultimate patterning capabilities for early optimization, what is ultimately important is the performance under manufacturing conditions, i.e. at the scanner. Therefore, we address this issue by evaluating the resist performance as a function of the contrast and resolution of the aerial image in a controlled manner, which is possible with the EUV-IL tool. In addition, we compare the performance of the state-of-the-art EUV resists using EUV-IL tool and NXE scanner and attempt to match the data obtained from EUV-IL with the performance of the NXE scanners.
Exploring EUV and SAQP pattering schemes at 5nm technology node
For years, Moore’s law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source’s wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore’s law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target’s line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV.

In this work we are comparing two potential pattering techniques for Back End Of Line (BEOL) metal layers in the 5nm technology node, the first technique is Single Exposure EUV (SE-EUV) with a Direct Patterning EUV lithography process, and the second one is Self-Aligned Quadruple Patterning (SAQP) with a hybrid lithography processes, where the drawn metal target layer is decomposed into a Mandrel mask and Blocks/Cut mask, Mandrel mask is printed using DUV 193i lithography process, while Block/Cut Mask is printed using SE-EUV lithography process. The pros and cons of each technique are quantified based on Edge-Placement-Error (EPE) and Process Variation Band (PVBand) measured at 1D and 2D edges. The layout used in this comparison is a candidate layout for Foundries 5nm process node.
A study on enhancing EUV resist sensitivity (2)
Atsushi Sekiguchi, Yoko Matsumoto, Mariko Isono, et al.
To improve EUV resist sensitivity, studies have sought to enhance EUV light absorption by adding metals characterized by high EUV light absorption to the resist polymer. This approach is intended to increase secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency. As reported in our previous report, to determine whether adding metals characterized by high EUV light absorption actually enhances sensitivity, we performed transmittance measurements and sensitivity evaluations of resist samples doped with ZrO2 or TeO2 nanoparticles, which have low and high EUV light absorption, respectively, in molar quantities of 0-2 relative to PAG. The samples were subjected to EUV exposure at the NewSUBARU synchrotron radiation facility. The results of transmittance measurements and sensitivity evaluations showed that, while the ZrO2-doped resist showed no changes in absorption or sensitivity, the TeO2-doped resist showed enhancement in both properties. Based on these results, we confirmed that adding metals characterized by high EUV light absorption to the EUV resist enhances its EUV light absorption and increases secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency. In the efforts discussed in the present report, we examined whether adding metals directly to PAG could further enhance sensitivity by increasing the EUV light absorption of PAG itself, thereby efficiently heightening the effect of the secondary electron emission on PAG.
Ultimate patterning limits for EUV at 5nm node and beyond
The 5nm technology node introduces more aggressive geometries than previous nodes. In this paper, we are introducing a comprehensive study to examine the pattering limits of EUV at 0.33NA. The study is divided into two main approaches: (A) Exploring pattering limits of Single Exposure EUV Cut/Block mask in Self-Aligned-Multi-Patterning (SAMP) process, and (B) Exploring the pattering limits of a Single Exposure EUV printing of metal Layers.

The printability of the resulted OPC masks is checked through a model based manufacturing flow for the two pattering approaches. The final manufactured patterns are quantified by Edge Placement Error (EPE), Process Variation Band (PVBand), soft/hard bridging and pinching, Image Log Slope (ILS) and Common Depth of Focus (CDOF)
Thermomechanical changes of EUV mask and absorber dependency
Thermal and structural deformations of extreme ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness change. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the mask rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. Therefore, it is necessary to predict and optimize the effect of energy transmitted from the extreme ultraviolet (EUV) light source and the resultant patterns of complex multilayer structured EUV masks. Our study shows that temperature accumulation and deformation of the EUV mask are dependent on the absorber structure.
Theoretical modeling of PEB procedure on EUV resist using FDM formulation
Muyoung Kim, Junghwan Moon, Joonmyung Choi, et al.
Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick’s second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.
EUVL Gen 2.0: key requirements for constraining semiconductor cost in advanced technology node manufacturing
Arindam Mallik, Peter Debacker, Greg McIntyre, et al.
The constant improvement of critical pitch reduction to enable the next generation semiconductor technology node is the primary driver for innovation in semiconductor industry. Previous researches [1] have shown the benefits of EUVL to bring down the wafer manufacturing cost for imec 7nm technology node. Beyond the technology node (N node) that will use EUV single patterning to enable the critical layers, the critical pitch enablement would require the second generation of EUVL lithography (high NA EUV) or double patterning EUVL(EUVL-DP). In this paper, we have provided a comparison between the two alternatives in terms of cost. We explored patterning options that would enable a costfriendly 5nm logic (N+1 node). The goal is to analyze the alternatives beyond the current 0.33 NA EUVL single patterning limit.
Industrialization of a robust EUV source for high-volume manufacturing and power scaling beyond 250W
In this paper, we provide an overview of various technologies for scaling tin laser-produced-plasma (LPP) extremeultraviolet (EUV) source performance to enable high volume manufacturing (HVM). We will show improvements to source architecture that facilitated the increase of EUV power from 100W to 250W, and the technical challenges for power scaling of key source parameters and subsystems. The performance of critical subsystems such as the Droplet Generator and Collector protection will be shown, with emphasis on stability and lifetime. Finally, we will describe current research activities and provide a perspective for LPP EUV sources towards 500W.
Key components development progress updates of the 250W high-power LPP-EUV light source
Yoshifumi Ueno, Tsukasa Hori, Yasufumi Kawasuji, et al.
Gigaphoton Inc. is developing a laser produced plasma (LPP) extreme ultra violet (EUV) light source for high-volumemanufacturing (HVM) semiconductor lithography. Original technologies and key components of this source include a high-power carbon dioxide (CO2) laser with 15ns pulse duration, a short wavelength solid-state pre-pulse laser with 10ps pulse duration, a highly stabilized small droplet (DL) target, a precise laser-DL shooting control system and debris mitigation technology with a magnetic field. In this paper, an update of the development progress of the total system and of the key components is presented.