Proceedings Volume 10450

International Conference on Extreme Ultraviolet Lithography 2017

Paolo A. Gargini, Patrick P. Naulleau, Kurt G. Ronse, et al.
cover
Proceedings Volume 10450

International Conference on Extreme Ultraviolet Lithography 2017

Paolo A. Gargini, Patrick P. Naulleau, Kurt G. Ronse, et al.
Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 29 November 2017
Contents: 19 Sessions, 40 Papers, 34 Presentations
Conference: SPIE Photomask Technology and EUV Lithography 2017
Volume Number: 10450

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10450
  • EUV Readiness: Joint session with conferences 10450 and 10451
  • EUV Mask Inspection: Joint session with conferences 10451 and 10450
  • EUV Mask Metrology and Inspection: Joint session with conferences 10450 and 10451
  • EUV Mask Pellicle: Joint session with conferences 10451 and 10450
  • EUV Mask and Imaging
  • EUV Resist I
  • EUV Resists II
  • Student Session: Joint session with conferences 10451 and 10450
  • High-NA EUV Lithography
  • EUV Source
  • EUV Patterning and Process Enhancement I
  • EUV Patterning and Process Enhancement II
  • Poster Session: EUV Source
  • Poster Session: EUV Resists and Process
  • Poster Session: EUV Pellicles
  • Poster Session: EUV Patterning and Process Enhancement
  • Poster Session: EUV Mask Metrology, Inspection, and Imaging
  • Poster Session: EUV Hardware
Front Matter: Volume 10450
icon_mobile_dropdown
Front Matter: Volume 10450
This PDF file contains the front matter associated with SPIE Proceedings Volume 10450, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.
EUV Readiness: Joint session with conferences 10450 and 10451
icon_mobile_dropdown
EUV lithography industrialization progress
This presentation will provide an overview of the industrialization of EUV Lithography, including the latest data on imaging, overlay, defectivity and source power/ productivity. The focus of the presentation will be on the NXE:3400B scanner, ASML’s fifth generation EUV lithography tool intended for the sub 10 nm volume production Furthermore the ASML roadmap, NXE:3300B and NXE:3350B field data and field performance data will be shown
EUV single patterning for logic metal layers: achievement and challenge (Conference Presentation)
imec’s investigation on EUV single patterning insertion into industry 5nm-relevant logic metal layer is discussed. Achievement and challenge across imaging, OPC, mask data preparation and resulting wafer pattern fidelity are reported with a broad scope. Best focus shift by mask 3D of isolated feature gets worse by the insertion of SRAF, which puts a negative impact on obtaining large overlap process window across features. imec’s effort across OPC including SMO and mask sizing is discussed with mask rule that affects mask writing. Resist stochastic induced defect is identified as a biggest challenge during the overall optimization, and options to overcome the challenge is investigated. For mask data preparation, dramatic increase in the data volume in EUV mask manufacturing is observed from iArF multiple patterning to EUV single patterning conversion, particularly by the insertion of SRAF. In addition, logic design consideration to make EUV single patterning more affordable compared to alternative patterning option is be discussed.
EUV mask manufacturing readiness in the merchant mask industry
Michael Green, Yohan Choi, Young Ham, et al.
As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process “stress testing” to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for the broadest community possible as the technology is implemented first within and then beyond the initial early adopters.
EUV Mask Inspection: Joint session with conferences 10451 and 10450
icon_mobile_dropdown
Classification and printability of EUV mask defects from SEM images
Wonil Cho, Daniel Price, Paul A. Morgan, et al.
Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor’s Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM-to-Aerial printability) analysis of every defect. First, a defect-free or reference mask SEM is rendered from the post-OPC design, and the defective signature is detected from the defect-reference difference image. These signatures help assess the true nature of the defect as evident in e-beam imaging; for example, excess or missing absorber, line-edge roughness, contamination, etc. Next, defect and reference contours are extracted from the grayscale SEM images and fed into the simulation engine with an EUV scanner model to generate corresponding EUV defect and reference aerial images. These are then analyzed for printability and dispositioned using an Aerial Image Analyzer (AIA) application to automatically measure and determine the amount of CD errors. Thus by integrating EUV ADC and S2A applications together, every defect detection is characterized for its type and printability which is essential for not only determining which defects to repair, but also in monitoring the performance of EUV mask process tools. The accuracy of the S2A print modeling has been verified with other commercially-available simulators, and will also be verified with actual wafer print results. With EUV lithography progressing towards volume manufacturing at 5nm technology, and the likelihood of EBMI inspectors approaching the horizon, the EUV ADC-S2A system will continue serving an essential role of dispositioning defects off e-beam imaging.
Actinic inspection of EUV reticles with arbitrary pattern design
Iacopo Mochi, Patrick Helfenstein, Rajendran Rajeev, et al.
The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.
EUV Mask Metrology and Inspection: Joint session with conferences 10450 and 10451
icon_mobile_dropdown
Measurement of through-focus EUV pattern shifts using the SHARP actinic microscope
This paper provides experimental measurements of through-focus pattern shifts between contact holes in a dense array and a surrounding pattern of lines and spaces using the SHARP actinic microscope in Berkeley. Experimental values for pattern shift in EUV lithography due to 3D mask effects are extracted from SHARP microscope images and benchmarked with pattern shift values determined by rigorous simulations.
EUV Infrastructure: EUV photomask backside cleaning (Conference Presentation)
Bruce Fender, Dusty Leonhard, Hugo Breuer, et al.
EUV Infrastructure: EUV photomask backside cleaning Applied Materials as first author: Bruce J. Fender, Dusty Leonhard, Hugo Breuer, Jack Stoof ASML: My Phung Van, Rudy Pellens, Reinout Dekkers, Jan Pieter Kuijten Due to electrostatic chucking of the backside of EUV masks, backside cleanliness in EUV lithography is an important factor. Contamination on the backside can cause damage to reticle (e-chuck), cross-contaminate to the scanner or cause local distortions in the reticle. Cleaning of the masks offers a solution to reduce the defectivity level on reticles. However, repeated cleaning on masks is known to have an impact on absorber, CD and reflectivity. Ideally, cleaning should occur without any alterations to the critical features on the front side of the mask. With the introduction of pellicles for EUV, there could be an additional drive for backside-only cleaning. In this work the GuardianTM Technology is introduced that enables backside cleaning without any cleaning impact on the reticle front side through a protective seal at the outer edge of the mask. The seal protects the front side during the backside clean. The cleaning process encompasses a single-sided pre-clean oxygen plasma treatment of the mask surface, followed by sonic cleaning, and ending with a rinse and dry step. Separating the mask backside from front side enables: • Backside cleaning without any cleaning impact on features on the mask front side. • The isolation allows an aggressive cleaning of the backside to ensure defect removal. • Processing of reticle with studs on the front side. This prevents unnecessary actions of stud removal and removal of the remaining glue after stud removal and subsequent gluing of the studs after cleaning. Just before chucking of a reticle, the defectivity level on the mask is initially inspected with an in-scanner reticle backside inspection tool. The GuardianTM cleaning process is able to remove the vast majority of the cleanable defects that could impact scanner performance. Post GuardianTM clean interferometric microscope defect review reveals the remaining defects > 25-μm-PSL are ~78% are indent/damage and 11% are defects with insignificant height to impact scanner performance or cleanliness.  
EUV mask flatness compensation strategies and requirements for reticle flatness, scanner optimization and E-beam writer (Conference Presentation)
High volume manufacturing with extreme ultraviolet (EUV) lithography requires mask induced overlay errors of less than 1.5nm for the N7 node. The use of electrostatic chucking and reflective optics causes the reticle backside flatness and reticle thickness to directly affect the placement of the pattern at wafer through both in-plane (IPD) and out of plane distortions (OPD). The minimization of reticle flatness alleviates some of the image placement distortion caused by the reticle’s shape, however to be within the image placement error budget, N7 EUV blanks must have flatness <16nm p-v. With the manufacturing challenges associated with generating such flat blanks, compensation may be an option for imaging improvements; such methodologies will likely be essential for EUV to meet the stringent image placement and overlay specifications needed for high volume manufacturing (HVM). Numerous compensation approaches can be utilized to minimize flatness related image placement errors including write compensation of the reticle, feed forward of reticle flatness data to the scanner corrections, and high-order empirical scanner corrections. This study investigates the benefits and limitations of each of these approaches, and seeks to better define which types of errors can be compensated and which will need further reticle flatness development in order to meet N7 and N5 specifications. Additionally, attention is given to the reticle’s shape as it relates to the limitations to the depth of focus required within the scanner systems. Utilizing an array of substrates and blanks from different vendors, we provide an assessment on which type of compensation method is most effective for addressing the various topographies for each specific reticle, and further explore for which node such schemes may be necessary. This investigation seeks to provide a guide for the industry to work towards the implementation of functional tolerances related to both the compensation scheme used in manufacturing, and the reticle’s resulting non-correctable flatness (residual).
EUV Mask Pellicle: Joint session with conferences 10451 and 10450
icon_mobile_dropdown
Efficient simulation of EUV pellicles
The paper presents a new simulation model for the efficient simulation of EUV pellicles. Different pellicle stacks, pellicle deformations and particles on the pellicle can be considered. The model is based on properly designed pupil filters representing all pellicle and particle properties to be investigated. The filters are combined with an adapted image simulation. Due to the double transition of the EUV light through the pellicle, two different models for the pupil filter computation have been developed: A model for the forward light propagation from the source to the mask and a model for the backward light propagation from the mask to the entrance pupil of the system. Furthermore, for the accurate representation of particles on the pellicle, a model has been developed, which is able to combine the different size dimensions of particles, of the entrance pupil and of the illumination source. Finally, some specific assumptions on the light propagation make the pellicle model independent from the illumination source and speed up the simulations significantly without introducing an important error. Typically, the consideration of a pellicle increases the overall image simulation time only by a few seconds on a standard personal computer. Furthermore, a simulation study on the printing impact of pellicles on lithographic performance data of a high NA anamorphic EUV system is presented. Typical illumination conditions, a typical mask stack and different mask line features are considered in the study. The general impact of a pellicle as well as the impact of pellicle transmission variations, of pellicle deformations and of particles on the pellicle on typical lithographic performance criteria is investigated.
EUV Mask and Imaging
icon_mobile_dropdown
EUV source optimization driven by fundamental diffraction considerations
Jo Finders, Eelco van Setten, Par Broman, et al.
Illumination Source Optimization is a very fundamental task for the lithographer. For upcoming EUV lithography we expect quite some commonality and similar source shapes to be used when comparing with immersion lithography, but we also expect some new aspects specific to EUV. In this paper we present a methodology to predict optimum source shapes for simple test patterns by studying basic diffraction properties. This knowledge can then be used in understanding the outcome of real life optimizations by full scale Source Mask Optimization routines, where the lithographer will take many clips and many additional aspects (e.g. illuminator efficiency) into account.
Performance and characteristics of the NXE:3400 optical system enabling sub-10nm node lithography (Conference Presentation)
Michael Busshardt, Olaf Conradi, Benjamin Kaminski, et al.
The optical train is a key sub-system of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. The most relevant performance parameters of the illumination system are the maximum achievable setting flexibility, off-axis imaging capability (sigma) and pupil fill ratio (PFR). The projection optics key drivers numerical aperture (NA), aberration level, and stray light determine resolution limit and image quality of the scanner. In EUV lithography, optimizing aerial image contrast and image overlay is of particular importance to achieve the required resolution and edge placement performance of the scanner because stochastic effects degrading the initial image as e.g. resist blur and photon shot noise are still comparably strong. In this paper, we present an overview on the new features of the NXE:3400 EUV optical system designed to improve resolution limit, contrast and overlay performance of the NXE:3400 scanner. The illumination system features a novel design based on a large number of switchable facetted mirrors which enables an unprecedented setting flexibility and reduced pupil fill ratio. Furthermore, the off-axis imaging capability of the illuminator has been extended to the full NA which in combination with the reduced PFR improves the single patterning resolution limit of the NXE:3400 by approximately 20% down to 13nm. In addition, by exploiting the increased flexibility of the 3400 illumination system, we demonstrate the ability to further correct for 3D mask effects, and excellent matching to the NXE:3350 system. The projection optics features a NA of 0.33 with significantly reduced aberration level as compared to the precedent 3350 projection optics. In particular, the non-correctable errors impacting scanner overlay, and the wavefront RMS impacting image contrast have been substantially reduced. Keeping the design concept, the improvements have been implemented such that a seamless matching to the 3350 projection optics is guaranteed. Finally, we present NXE:3400 printing results to verify the imaging performance of the NXE:3400 optical system in resist. NXE:3400B wafer prints demonstrate excellent and consistent imaging performance across several systems in line with the discussed improvements of the optical train.
Addressing EUV masks registration challenges through closed loop correction (Conference Presentation)
Avi Cohen, Ofir Sharoni, Dirk Beyer, et al.
Addressing EUV masks registration challenges through closed loop correction Avi Cohen1, Ofir Sharoni1, Dirk Beyer2, Christian Ehrlich2 1Carl Zeiss SMS Ltd. Karmiel , Hadolev 3, 20156 Bar Lev Industrial Park, Israel 2Carl Zeiss SMT GmbH, Carl-Zeiss-Promenade 10, 07745 Jena, Germany ABSTRACT EUV lithography is expected to become a critical enabling technology in the short and mid future of high end IC manufacturing. Although much effort is going into process and manufacturing challenges and inroads are being made in the industry, some process residuals will still exist with the move to HVM and among them will be the mask registration errors and the on product overlay (OPO). The PROVE® system is the state of the art high end registration metrology tool capable of measuring both DUV as well as EUV masks. The ForTune® EUV utilizes an ultra short pulse laser to modify the mask substrate in order to correct registration errors and bring the mask into specification. Combining the metrology capabilities of the PROVE® with the corrective capabilities of the ForTune® EUV allows a closed loop solution in which the registration metrology data is utilized to feed forward the correction job needed to be applied over the mask in the ForTune® EUV. This paper investigates the ability to improve mask registration on EUV masks using closed loop feedback between the PROVE® and ForTune® systems. Initial registration data from an EUV mask is measured by the PROVE® and utilized to both calculate the mask tuning job as well as predict the improvement prior to actual procession. After carrying out the registration correction on the ForTune® EUV, the EUV mask is again measured on the PROVE® to evaluate the ForTune® EUV process The registration is measured with the PROVE® and the data is utilized by the Advanced Tuning Center, a FAVOR® solution, to prepare the job for the ForTune® EUV correction. KEYWORDS: EUV, ForTune®, PROVE®, EUV mask, overlay correction, registration correction,
Individual multilayer reflectance and near field image formation in an EUV reticle
Lawrence S. Melvin III, Yudhi Kandel, Artak Isoyan, et al.
The Extreme Ultraviolet reticle is a multilayer system ideally comprised of 40 alternating layers of molybdenum and silicon. The real reticle situation is not ideal, instead MoSi2 regions are found between each Mo and Si layer in the reflector. Reflectance differences arise as the Si, Mo, and SiMo2 proportions change. The material proportions are not necessarily constant throughout the reflector stack, which results in reflectance variations. Small variations in the mask reflectance resulting from material proportion differences can affect CD formation and uniformity on the wafer. The exact impact of a material variation on wafer CD is dependent on many factors. One factor is the depth in the mask stack at which the variation is found. Previous studies have demonstrated that multilayer variations at different multilayer locations produce imaging effects that are dependent on the CD and pitch. This study proposes to quantify the contribution of each multilayer set (1 through 40) to the intensity used to form the wafer pattern. This will be accomplished by computationally placing absorbers and transmitters into the stack, then measuring output intensities as well as final wafer CDs in order to provide a better understanding of material variation impacts in the mask multilayer.
Single element and metal alloy novel EUV mask absorbers for improved imaging (Conference Presentation)
Vicky Philipsen, Kim Vu Luong, Laurent Souriau, et al.
Current EUV mask technology uses Ta-based metallic absorber layer, on top of a reflective multilayer mirror. Multiple studies have shown that the optical constants and the required 50-70nm thickness of Ta-based metallic absorber at EUV wavelength, do not offer an optimal wafer image, and, for example, produce images with pitch and illumination dependent best focus shifts for patterns at Foundry N5 dimensions. Alternative metal absorbers with higher absorptivity than Ta, such as Ni and Co have been proposed and, in simulation, show improved imaging at <40nm thickness. The replacement of a Ta- absorber by a new type of metal is a formidable task for the mask industry. A novel absorber must not only meet the criteria for improved imaging, but also must meet the required material properties that make it compatible with different steps in mask blank and subsequent mask manufacturing, such as a controlled deposition technique, availability of a patterning process for mask patterning, and be compatible with mask inspection, repair, and cleaning. We have started an experimental evaluation of the properties of thin metal Ni and Co films, and alloys of Ni, considering imaging performance and mask manufacturability. Rigorous lithographic simulations are used to screen potential absorber materials for their imaging properties at Foundry N5 dimensions, and find optimal thickness. The microscopic structure of the thin films was determined using X-ray, X-SEM and X-TEM techniques, and optical constants were measured using ellipsometry at EUV wavelength. Towards mask manufacturing, patterning performance, and resistance to typical mask cleaning chemicals was evaluated experimentally. Standard deposition of Ni and Co metals yielded polycrystalline thin films, that prove difficult to pattern using a traditional etch process. In addition, Co films were found to be affected by standard mask cleaning chemistry. Hence, if Ni and Co are required as new mask materials, also novel patterning techniques will have to be used, that may be additive rather than subtractive. To illustrate this, we show promising performance for area selective Co deposition techniques. To identify new materials, that have better properties towards manufacturing than single-element Ni and Co, we have started the evaluation of metal alloys, at different elemental ratios. This allows to combine Ni with an element that has refractive index closer to 1, or with an element that has even higher absorptivity. The films of metal alloys have been characterized in a similar way as the single element metals, so that they can be compared to single element metals as suitable materials for mask manufacturing.
EUV Resist I
icon_mobile_dropdown
Unraveling the role of secondary electrons upon their interaction with photoresist during EUV exposure
The interaction of 91.6eV EUV photons with photoresist is very different to that of optical lithography at DUV wavelength. The latter is understood quite well and it is known that photons interact with the resist in a molecular way through the photoacid generator (PAG) of the chemically amplified resist (CAR). In EUV however, the high energy photons interact with the matter on atomic scale, resulting in the generation of secondary electrons. It is believed that these secondary electrons in their turn are responsible in chemical modification and lead to switching reactions that enable resist local dissolution. However, details of the interaction are still unclear, e.g. which reaction an electron with a given energy can initiate.

In this work we have introduced a method to measure the chemical interaction of the secondary electrons with the EUV resist. The method is based on electron gun exposures of low energy electrons (range ~1eV to ~80eV) in the photoresist. The chemical interaction is then measured by Residual Gas Analysis (RGA), which can analyze out of the outgassing which and how much reaction products are generated. In this way a ‘chemical yield’ can be quantified as function of electron energy.

This method has been successfully applied to understand the interaction of secondary electrons on the traditional CAR materials. The understanding was facilitated by testing different compositions of an advanced EUV CAR, where resp. polymer only, polymer+PAG, and polymer+PAG+quencher are tested with the electron gun. It was found that low energy electrons down to ~3-4eV can activate PAG dissociation, which can lead to polymer deprotection. However it was observed too that energy electrons of ~12eV and higher can do direct deprotection even in absence of the PAG. In addition, testing suggests that electrons can generate also other chemical changes on the polymer chain that could lead to cross-linking.
Secondary electron interactions of chemically amplified EUV photoresists (Conference Presentation)
Steven Grzeskowiak, Amrit K. Narasimhan, Gregory H. Denbeaux
Chemically amplified extreme ultraviolet (EUV, ~13.5 nm) photoresists are typically comprised of a photoacid generator (PAG) in a polymer matrix. During the photolithographic process, a photoresist is exposed to EUV photons; it is believed that electrons and holes generated during exposure are the major source of acid production between resist components. It has been shown that more easily reduced PAGs have higher acid yields within the same polymer matrix. This correlation of reducibility vs. acid yield should be consistent between PAGs regardless of the polymer matrix. This work investigates PAG reducibility compared to acid yield for several PAGs contained in various polymer matrices. Reduction potentials of PAGs are determined through cyclic voltammetry and electrolysis. An acid indicator, coumarin 6, and an established outgassing technique are used to determine the number of acids generated for low energy (80 eV) electron exposures for given polymer matrices. These results are compared to analogous EUV exposures.
A novel route to EUV resists design: Fundamental understanding of chemical processes (Conference Presentation)
Oleg Kostko, Bo Xu, Daniel S. Slaughter, et al.
New resists are needed to advance EUV lithography. Tailored design of efficient photoresist is impossible without fundamental understanding of EUV induced chemistry. Resists incorporating high cross-section elements efficiently utilize EUV photons via radiation absorption by core-level electrons, resulting in emission of primary electrons. However, this is only an initial step in the process. Auger emission, molecular fragmentation, and subsequent electron-resist interactions are also critical. Understanding all these steps is crucial to harness all the deposited energy for improved patterning results. In this work, we present recent results of multimodal experimental approaches to study photoresist materials. To build our grasp of EUV photochemistry from the ground up we aim for understanding the whole variety of processes happening after absorption of an EUV photon by a single building block of resist material – a resist molecule. Model photoresist constituent molecules functionalized with halogen atoms, are isolated in the gas phase and exposed to tunable EUV radiation from the Advanced Light Source, Berkeley Lab and the direct processes are investigated by photoelectron spectroscopy and photoionization mass spectrometry. We quantify the performance of several candidate molecules in terms of photoemission cross-sections and electron yield per primary photoionization event. We demonstrate that some prototype resist molecules can emit several (photo- and Auger) electrons after single EUV photon absorption. Following the electron emission, the atomic relaxation leads to the molecule fragmentation, which also depends on the halogen functionalization. Secondary electron-driven reactions are studied by tunable electron impact ionization and dissociative electron attachment mass spectrometry. We demonstrate that even very low kinetic energy electrons may lead to the molecule dissociation. While gas-phase studies do provide insight into the primary EUV photon or electron induced events in the individual resist molecules, we seek to understand these processes in the condensed phase as this is where industrially relevant processes will occur. We discuss techniques allowing for generation of resist nanoparticles of different morphology, representing either condensed resist or a substrate coated by a resist film. The same techniques, as applied to investigate resist’s building blocks, are used to study the condensed resist material, connecting our understanding of the fundamental phenomena from each isolated molecule to the solid state system.
EUV Resists II
icon_mobile_dropdown
High resolution lithography using a multi-trigger resist (Conference Presentation)
Carmen Popescu, Alexandra L. McClelland, Guy Dawson, et al.
As the minimum lithographic feature size continues to shrink, the development of techniques and resist materials capable of high resolution (R), high sensitivity (S) and low line edge roughness (L) has become increasingly important for next generation lithography. However, the issue represents a fundamental trade-off in lithography (the RLS triangle) and it is difficult to overcome. Addition of quenchers in chemically amplified resists reduces the acid diffusion length and improves the line edge roughness and increases the resolution of the patterned features, but decreases the sensitivity, and impacts on material stochastics increasing the line edge roughness. One current approach to boost the sensitivity in organic resists has been the addition of metals by incorporating organometallic complexes or metallic clusters in the resist, but again this can impact the line edge roughness. In this study we will introduce and explain the multi-trigger mechanism concept employed in our system. This enables high sensitivity without the need for additional metallic components in the resist, but also incorporates a quenching behaviour in to the chemistry to improve resolution. The standard material consists of a proprietary molecule – xMT, together with a crosslinker and a PAG. EUV light generates photoacids, as with a traditional chemically amplified resist, but the response of the resist matrix implements a logic-type function. Where two resist molecules are activated by two acids, in close proximity to each other, then the resist molecules will react catalytically and subsequently release both acids. When a resist molecule encounters a single acid in isolation then it will hold on to the acid, without itself reacting, thus removing the acid from the reaction. This behaviour allows a high sensitivity response at a certain dose threshold, but turns the resist response off much more quickly (as a second order reaction) as the dose decreases, leading to sharper lines and lower line width roughness. We present results where the xMT molecular structure was modified to create enhanced versions of the standard resin that will offer higher cross-linking capability, better mechanical strength to reduce the LER and ultimately higher resolution. The impact of high Z additives was also evaluated. The materials were patterned in electron beam lithography at 100 kV and also using EUV lithography at the Paul Scherrer Institute in Switzerland, and their lithographic properties were analyzed in comparison with our standard resist. Dense line and spaces at 14nm and 16nm half pitches were patterned, and results show with a dose to size of 25mJ/cm2 with LER of 3.3nm at 16nm half pitch with an enhanced version. The same half pitch is patterned at a dose of 17mJ/cm2 when a high Z additive is introduced, but with a higher LER value. Results from the MET are also presented. Isolated pillars and holes with a diameter of 25nm, and line and space patterns at 11 nm half pitch have been patterned using ebeam lithography in the enhanced xMT resist.
DDR process and materials for NTD photo resist in EUV lithography
Shuhei Shigaki, Satoshi Takeda, Wataru Shibayama, et al.
We developed the novel process and material which can prevent the pattern collapse issue perfectly. The process was Dry Development Rinse (DDR) process, and the material used in this process was DDR material. DDR material was containing siloxane polymer which could be replaced the space area of the photo resist pattern. And finally, the reversed pattern would be created by dry etching process without any pattern collapse issue. This novel process was useful not only in positive tone development (PTD) process but also in negative tone development (NTD) process. We newly developed DDR material for NTD process. Novel DDR material for NTD consists of special polymer and it used organic solvent system. New DDR materials showed no mixing property for NTD PR, so fine pattern of NTD PR could be filled by DDR materials then tone reverse could be achieved by dry etching process. Tone reverse was successfully achieved by combination of NTD PR and DDR process keeping good pattern quality in EUV lithography. Reversed pattern below hp 14nm was obtained without any pattern collapse issue, which couldn’t be created by just using normal NTD process. Reversed contact hole could be obtained in NTD-DDR process at 24nm hole size. Reversed C/H made by NTD pillar showed good LCDU compared to PTD C/H. In addition, reversed C/H at 20nm hole size could be achieved in NTD-DDR process. In DDR process, enough etch back is important to obtained fine reversed pattern with lower roughness but long etch back time caused degradation of the reversed pattern. Then etch back time was evaluated with NTD PR and DDR material. Reversed C/H showed minimum LCDU when short etch back time was applied, however degradation of LCDU was observed when long etch back was applied. LCDU of reversed C/H made by NTD-DDR process was 3.2nm. On the other hands, LCDU of normal C/H made by PTD process was 3.5nm, so reversed C/H from NTD pillar showed better LCDU than PTD C/H when suitable etch back was applied.
Recent progress of CAR materials for EUV lithography (Conference Presentation)
Extreme ultraviolet (EUV) lithography is considered to be the most effective strategy for realize 7 nm generation manufacturing and beyond. A key factor for the realization of EUV lithography is the choice of EUV resist material that is capable of resolving below 15-nm half pitch with high sensitivity. Chemical Amplified Resist (CAR) using positive-tone development (PTD) is still one of the strongest candidates for EUV lithography. Recently, some researchers have reported concerns on the limitations in the performance of CAR materials. Consequently, there is a critical need for new chemistry and development of new resist materials. However, new resist materials still have lots of concerns for manufacturing, such like a non-CAR materials including metal resist. Therefore, CAR materials are still most important items for EUV lithography manufacturing. We’ve been developing negative-tone imaging (organic solvent development) with EUV exposure (EUV-NTI) for a long time. EUV-NTI has advantages for line-width roughness (LWR) due to their low swelling and dissolving smoothly. New EUV-NTI performances will be shown and also process condition progress will be updated. Also, the basic study will be reported, which is high absorption unit including materials for improving stochastic effect. We report herein recent progress of CAR materials, both positive-tone and negative-tone for EUV lithography.
Reducing roughness in extreme ultraviolet lithography
Pattern roughness is a major problem in advanced lithography for semiconductor manufacturing, especially for the insertion of extreme ultraviolet (EUV) lithography as proposed in the coming years. Current approaches to roughness reduction have not yielded the desired results. Here, a new global optimization approach is proposed, taking advantage of the different strengths and weaknesses of lithography and etch. Lithography should focus on low-frequency roughness by minimizing both the low-frequency power spectral density and the correlation length. Etch should focus on high frequency roughness by growing the correlation length. By making unbiased measurements of the roughness, including the power spectral density, the parameters needed to guide these optimization efforts become available. The old approach, of individually seeking to reduce the 3σ roughness of pre- and post-etch features, is unlikely to lead to the required progress in overall roughness reduction for EUV.
The update of resist outgas testing for metal containing resists at EIDEC
The metal containing resist is one of the candidates for high sensitivity resists. EIDEC has prepared the infrastructure for outgas testing in hydrogen environment for metal containing resists at High Power EUV irradiation tool (HPEUV). We have experimentally obtained the preliminary results of the non-cleanable metal contamination on witness sample using model material by HPEUV [1]. The metal contamination was observed at only the condition of hydrogen environment. It suggested the generation of volatile metal hydrides by hydrogen radicals. Additionally, the metal contamination on a witness sample covered with Ru was not removed by hydrogen radical cleaning. The strong interaction between the metal hydride and Ru was confirmed by the absorption simulation. Recently, ASML announced a resist outgassing barrier technology using Dynamic Gas Lock (DGL) membrane located between projection optics and wafer stage [2], [3]. DGL membrane blocks the diffusion of all kinds of resist outgassing to the projection optics and prevents the reflectivity loss of EUV mirrors. The investigation of DGL membrane for high volume manufacturing is just going on. It extends the limitation of material design for EUV resists. However, the DGL membrane has an impact for the productivity of EUV scanners due to the transmission loss of EUV light and the necessity of periodic maintenance. The well understanding and control of the outgassing characteristics of metal containing resists may help to improve the productivity of EUV scanner. We consider the outgas evaluation for the resists still useful. For the improvement of resist outgas testing by HPEUV, there are some issues such as the contamination limited regime, the optimization of exposure dose to obtain the measurable contamination film thickness and the detection of minimum amount of metal related outgas species generated. The investigation and improvement for these issues are ongoing. The updates will be presented in the conference. This work was supported by Ministry of Economy, Trade and Industry (METI) and New Energy and Industrial Technology Development Organization (NEDO). [1] Eishi Shiobara, Shinji Mikami, Satoshi Tanaka, International Symposium on EUV Lithography, Hiroshima, Japan, P-RE-01, (2016). [2] Mark van de Kerkhof, Hans Jasper, Leon Levasier, Rudy Peeters, Roderik van Es, Jan-Willem Bosker, Alexander Zdravkov, Egbert Lenderink, Fabrizio Evangelista, Par Broman, Bartosz Bilski, Thorsten Last, Proc. of SPIE Vol. 10143, 101430D (2017). [3] Oktay Yildirim, Elizabeth Buitrago, Rik Hoefnagels, Marieke Meeuwissen, Sander Wuister, Gijsbert Rispens, Anton van Oosten, Paul Derks, Jo Finders, Michaela Vockenhuber, Yasin Ekinci, Proc. of SPIE Vol. 10143, 101430Q (2017).
Student Session: Joint session with conferences 10451 and 10450
icon_mobile_dropdown
Actinic EUV scatterometry for parametric mask quantification (Conference Presentation)
Stuart Sherwin, Andrew R. Neureuther, Patrick P. Naulleau
In DUV lithography, scatterometry enables precise measurement of mask dimensions such as the pitch, linewidth, and sidewall-angle of periodic patterns. However, substantial differences in the optical properties of DUV and EUV masks, such as angular sensitivity and mask 3D effects, makes simply extending existing technologies difficult. Using the EUV reflectometer at Lawrence Berkeley National Labs Center for X-Ray Optics with tunable wavelength and illumination angle, we explore how to extend scatterometry to EUV masks, with particular emphasis on using rigorous simulations and experimental data to quantify the accuracy of sensitive measurements such as sidewall-angle. Mask scatterometry at EUV wavelengths has benefits but also poses challenges that are not present at DUV wavelengths. The benefits come primarily from using the same wavelength as lithography; due to the severe sensitivity of the multilayer mirror to wavelength, the diffraction patterns obtained at DUV wavelengths from EUV masks would be both highly attenuated and substantially distorted. However, stronger mask 3D effects and the sensitivity of the multilayer to angle of illumination add extra levels of complexity to modeling the spectra of EUV masks that are not present in traditional DUV masks. We use rigorous FDTD (Finite Difference Time Domain) imaging simulations of patterned EUV multilayer masks to generate a library of spectra including gratings with a range of orientations, pitches, line widths, absorber heights, and side-wall angles under a wide range of illumination wavelengths and angles. We then perform SVD-based dimensionality reduction to find an efficient representation, or dictionary, for the spectra. Using this low-dimensional dictionary, we determine the sampling requirements, i.e. which measurements (angles and wavelengths of illumination) are necessary to measure all parameters of interest to a specified accuracy. We finally acquire experimental spectra of known mask features on the EUV reflectometer using different illumination conditions, and use the dictionary to recover the underlying dimensions of the features.
EUV mask roughness can recover litho-tool aberrations (Conference Presentation)
Speckle from an EUV mask adds to the line edge roughness of the final image in resist, so is typically minimized for better critical dimension control. However, the roughness of the mask can also be utilized constructively, for probing the pupil function of an aerial imaging system or a lithography scanner. The spectrum of the speckle image generated from an EUV mask blank encodes the system aberrations under a weak scattering approximation. We show that the properties of EUV masks are suitable for achieving a good balance between weak scattering and speckle contrast. Using this concept, we demonstrate in-situ experimental recovery of field-of-view dependent aberrations from blank areas of an EUV mask.     EUV masks are naturally rough at the scales seen by 13.5 nm light, creating weak diffused light that fills the entire pupil of the imaging system. Additionally, since most materials are only weakly scattering at soft X-ray wavelengths including EUV, the scattered light acts as a perturbation on the background illumination, recombining with it interferometrically to encode the pupil phase in the final speckle. We present an algorithm based on the phase contrast transfer function to use illumination angle diversity for extracting the pupil phase from the measured speckle spectrum at the camera plane. However, since the contrast transfer function is a linearization of the image intensity in terms of the object phase, it relies on the mask being a weak phase object. The exact properties of the EUV mask roughness needed for the linearization to apply are described. Measurements on the SHARP EUV microscope at the Lawrence Berkeley National Lab on mask blanks shows them to be weakly scattering, while still providing sufficient speckle contrast for aberration estimation. Additionally, the method can be used to probe aberrations across the field-of-view, using the speckle in any blank area of the mask for single-shot in-situ recovery of imaging system aberrations. While the method is shown to work on speckle from an aerial imaging tool, an extension to resist images of speckle from lithography scanner tools is being evaluated. This uses surface profile measurements of the speckle captured as the latent image on the exposed resist (before develop) to quantify aberrations in the lithography tool, under actual operating conditions. The recovered aberrations allow for high resolution reconstruction of the mask image in aerial imaging tools, or for compensating scanner aberrations using source-mask or pupil optimization techniques.
Evaluating mechanical characteristic of SiNx EUV pellicle membrane (Conference Presentation)
Various materials and structures have been studied to improve the mechanical and thermal properties of extremely thin membrane of EUV pellicle. We are developing pellicle membranes based on silicon nitride because silicon nitride is known to be stronger than silicon (e.g., Young’s modulus of bulk material: ~300 GPa vs. ~150 GPa). Mechanical strength is required to guarantee the durability under mask stage acceleration and venting/pumping process. However, it is difficult to characterize the mechanical properties of nano-scale membrane such as Young’s modulus, Poisson’s ratio and fracture strength. In this paper, mechanical properties of silicon nitride membranes with thickness less than 50nm were characterized by bulge test, tensile test and nano-indentation. Specially-designed ‘push-to-pull device’ was used to obtain stress-strain curve of silicon nitride membrane with 1.54 µm width and 2.45 µm length, and the Young’s modulus of ~93GPa and tensile strength of 3.2GPa were obtained. Bulge-test were performed on silicon nitride membrane with 1 x 1 cm2 size, and the deformation of membrane induced by pressure load was monitored by laser displacement sensor with 0.1 μm resolution. And the data points were fitted to the theoretical equation modified for square membrane and the Young’s modulus of ~ 200 GPa was obtained. This value is higher than the one obtained by tensile test but lower than the bulk value. The detailed explanation of experimental data will be discussed during the presentation.
High-NA EUV Lithography
icon_mobile_dropdown
High-NA EUV lithography enabling Moore’s law in the next decade
Jan van Schoot, Kars Troost, Frank Bornebroek, et al.
While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore’s law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.
Optical proximity correction for anamorphic extreme ultraviolet lithography
Chris Clifford, Michael Lam, Ananthan Raghunathan, et al.
The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation.

Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions.

This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.
Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography
To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore’s law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to ~ +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non-telecentricity. We will explore the solutions needed to mitigate these effects and to offer high quality imaging to be able to meet the required EPE performance in both orientations.
Next-generation EUV lithography productivity (Conference Presentation)
Beyond EUV insertion to high-volume manufacturing, the extendibility of the technology is dependent on the cost scaling advantages of high-NA or multi-patterning EUV lithography. Several concerns have been raised regarding the cost and lithographic feasibility of high-NA, including resist performance, productivity, depth of focus, mask infrastructure and field utilization/stitching capability. The intrinsic requirement of half-field exposures for high-NA lithography drives a necessary investigation on reduced field utilization verses stitching performance of the separate half fields. Furthermore, the additional mask for full fields dies will drive additional cost, complexity and overall overhead as compared to EUV NA 0.33 double patterning or other self-aligned technique. Here, the implication to EUV throughput capacity is analyzed within the context of the 7/5/3 nm technology nodes, specifically considering field utilization and scanner productivity as a function of source power and resist dose.
Taking a SHARP look at mask 3D effects
Markus P. Benk, Weilun Chao, Ryan Miyakawa, et al.
Mask 3D effects are an area of active research in EUV mask technology. Mask-side numerical aperture, illumination, feature size and absorber thickness are key factors modulating mask 3D effects and affecting printability and process window. Variable mask-side NA and flexible illumination make the SHARP actinic EUV microscope a powerful instrument for the study of mask 3D effects. We show an application example, comparing mask 3D effects for a standard Tantalum Nitride absorber and a thinner, 40-nm Nickel absorber. Data is presented for 0.33 4xNA and anamorphic 0.55 4x/8xNA. The influence of different illumination settings on mask 3D effects is discussed.
EUV Source
icon_mobile_dropdown
High-power LPP-EUV source with long collector mirror lifetime for high volume semiconductor manufacturing
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. We have developed first practical source for HVM; “GL200E” 17) in 2014. We have proved high average power CO2 laser more than 20kW at output power cooperate with Mitsubishi electric cooperation). Pilot#1 is up running and its demonstrates HVM capability; EUV power recorded at111W average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22hours operation in October 2016). Recently we have demonstrated, EUV power recorded at113W in burst stabilized (85W in average, 75% duty), with 5% conversion efficiency during 143hours operation. Also the Pilot#1 system recorded 64% availability and idle time was 25%. Availability is potentially achievable at 89% (2weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= -0.5%/Gp) above 100W level operation with dummy mirror test) . Very low degradation (= - 0.4%/Gp) of actual collector mirror reflectance has been demonstrated above 100W level operation (in burst) with magnetic mitigation EUV source.
Challenges to realize the EUV-FEL high-power light source for HVM system (Conference Presentation)
Hiroshi Kawata, Norio Nakamura, Eiji Kako, et al.
It is important to develop the high power EUV light source up to 1 kW to realize the 3nm node, which is expected to be in production at 2023-24. To this end, an energy recovery linac (ERL)-based free electron laser (FEL) must be a most promising candidate, so that our group has done some feasibility studies from the view point of accelerator technology. In order to realize the EUV-FEL high power light source, it is also important to recognize the demand of end users and related problems on the FEL light source. Last year, we attended many conferences and workshops to learn these items and also we organized one day workshop “EUV-FEL Workshop” at Tokyo. You can find the presentation materials in a website of http://pfwww.kek.jp/PEARL/EUV-FEL_Workshop/presentaions.html. One of the most important requirements is to reduce the size of the EUV-FEL system. The total system size is about 200 m (L).x 20 m (W) at our current design of the EUV-FEL with 160m linac, where the acceleration energy and current are 800 MeV and 10 mA, respectively. However, we had comments from semiconductor industry that it is too long to install the light source in a usual LSI Fab, so that we have to find out solutions to reduce the length of the accelerator systems to ~100 m. To this end, there are following several challenges. 1) Increasing the field gradient of the superconducting RF (SRF) cavity to reduce the total length of the linac. 2) Higher Q to reduce the RF loss in higher field gradient SRF cavity. 3) Reduction of the acceleration energy by introducing shorter period undulator . 4) Double loop accelerator system, in which the electron passes through a same linac twice and accelerated up to twice energy or accelerating cavities are placed on both loop sides. The R&D directions of the above challenges on accelerator technologies will be presented.
A 1kW EUV source for lithography based on FEL emission in a compact storage ring
Michael Feser, Ron Ruth, Rod Loewen
EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today’s EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.
Improved cost-of-ownership for a droplet-based LPP light source for HVM EUV mask and blank inspection (Conference Presentation)
Markus Brandstätter, Marco M. Weber, Duane Hudgins, et al.
Key components for the EUV mask infrastructure include actinic AIMS and pattern inspection, which are crucial for the introduction of EUV lithography into HVM. The usage of pellicles further substantiates the need for actinic light sources. EUV light sources with a high availability and brightness are required to increase the throughput for AIMS and pattern inspection systems. The first bounce EUV collection optics are subject to harsh debris fluxes in the form of ions, neutrals and droplet fragments comprising the lifetime of the light source. Enhancing the debris mitigation reduces the reflectivity decay and therefore improves the light source cost-of-ownership (CoO). Key to optimize the debris mitigation strategy is the assessment and quantification of the detrimental plasma debris. In the present work, the high kinetic energy particles including ions and neutrals generated from the laser irradiated droplet target are resolved spatially and temporally for an intermediate pressure regime. The implications of the ion and neutral flux on the collection optics are discussed in this work. By providing fresh targets in the form of micro-meter sized droplets to the droplet irradiation position, a certain variability of the droplet position with respect to the laser focal area is inherent. By actively changing the droplet position with respect to the laser focal area with a control system the influence on ion and EUV propagation direction is studied in this work. Finally, the long term LPP source operation is assessed. The debris mitigation system is enhanced employing a three-layer strategy demonstrating an increased source cleanliness for a GI collector configuration. Results from a sample exposure test for EUV reflection degradation of the first collector optics and the impact on the CoO will be presented.
Study of ion enhanced Sn removal by surface wave plasma for source cleaning (Conference Presentation)
David N. Ruzic, Gianluca A. Panici, Dren Qerimi
A hydrogen plasma cleaning technique to clean Sn (tin) off of EUV collector optics is studied in detail. The cleaning process uses hydrogen radicals and ions (formed in the hydrogen plasma) to interact with Sn-coated surfaces, forming SnH4 and being pumped away. This technique has been used to clean a 300mm-diameter stainless steel dummy collector optic, and EUV reflectivity of multilayer mirror samples was restored after cleaning Sn from them, validating the potential of this technology. This method has the potential to significantly reduce downtime and increase source availability. Thus, an investigation into the fundamental processes governing Sn removal has been performed. These experiments have shown that the Sn etch rates scale with hydrogen ion energy at the surface. Incident ions upon the surface impart energy that weakens the Sn-Sn bond allowing the chemical etch by hydrogen to proceed at a faster rate. Due to this the plasma is able to be in a reactive ion etch (RIE) regime. Results showing etch enhancement due to ions in this particular chemistry, including threshold energy, are shown. A concern for plasma based methods is the implantation of high energy hydrogen ions into the MLM, reducing reflectivity and possibly blistering. With a surface wave plasma (SWP) this concern is alleviated somewhat because of lower ion energies. Surface wave plasmas have lower electron temperatures than conventional sources in the range of 1 to 3 eV. In addition, SWP sources result in plasma densities on the order of 1011-12 cm-3, allowing for greater utilization of ion etch enhancement. Experiments measuring electron density and hydrogen radical density over large areas have been conducted and the results from these measurements are presented. Pressure has also been varied to illustrate the effect between etching with radicals and RIE etching with ions included. Etch rate radial profiles over pressures ranging from 30 mTorr to 1.3 Torr have been measured with peak etch rates of 94.9 ± 4.6 nm/min at 250 mTorr.
EUV Patterning and Process Enhancement I
icon_mobile_dropdown
EUV exposure tool stability at IMEC (Conference Presentation)
Vinayan Menon, Raul Pecharroman-Gallego, Lieve van Look , et al.
Source challenges have prolonged EUVL insertion into high volume chip production. Though recent years have seen much faster pace mitigation of key detractors. In meantime ASML’s first production platform NXE3300B continues to positively support imec’s core Advanced Lithography Program and Advanced Patterning Center. This presentation discusses source instability challenges addressed that impacted availability & power under UP2 configuration. Collector degradation caused illumination pupil pixel loss but remained within expected levels. Long term exposure tool monitoring data indicates production sustainable capability for EUV dose variability & CDU. Lens aberration, focus & overlay issues unique to EUV addressed will also be discussed.
EUV local CDU healing performance and modeling capability towards 5nm node
Tae Kwon Jee, Vadim Timoshkov, Peter Choi, et al.
Both local variability and optical proximity correction (OPC) errors are big contributors to the edge placement error (EPE) budget which is closely related to the device yield. The post-litho contact hole healing will be demonstrated to meet after-etch local variability specifications using a low dose, 30mJ/cm2 dose-to-size, positive tone developed (PTD) resist with relevant throughput in high volume manufacturing (HVM). The total local variability of the node 5nm (N5) contact holes will be characterized in terms of local CD uniformity (LCDU), local placement error (LPE), and contact edge roughness (CER) using a statistical methodology. The CD healing process has complex etch proximity effects, so the OPC prediction accuracy is challenging to meet EPE requirements for the N5. Thus, the prediction accuracy of an after-etch model will be investigated and discussed using ASML Tachyon OPC model.
Roadmap evolution: from NTRS to ITRS, from ITRS 2.0 to IRDS
The semiconductor industry benefitted from roadmap guidance since the mid-60s. The roadmap anticipated and outlined the main needs of the semiconductor industry for years to come and identified future challenges and possible solutions. Making transistor smaller by means of advanced lithographic technologies enabled both increased integration levels and improved IC performance. The roadmap methodology allowed the removal of multiple “red brick walls”. The NTRS and the ITRS constituted primarily a “bottom up” approach as standard microprocessors and memories where introduced at a blistering pace barely allowing time for system houses to integrate them in their products. The 1998 ITRS provided the vision that triggered research, development and manufacturing communities to develop a completely new transistor structure in addition to replacing aluminum interconnects with a more advanced technology. The advent of Foundries and Fabless companies transformed the electronics industry into a “top down” driven industry in the past 15 years. The ITRS adjusted to this new ecosystem and morphed into the International Roadmap for Devices and Systems (IRDS) sponsored by IEEE. The IRDS is addressing the requirements and needs of the renewed electronics industry. Furthermore, by the middle of the next decade the ability to layout integrated circuits in a 2D geometry grid will reach fundamental physical limits and the aggressive conversion to 3D architecture for integrated circuit must be pursued across the board as an avenue to continuously increasing transistor count and improving performance. EUV technology is finally approaching the manufacturing stage but with the advent of 3D monolithically integrated heterogeneous circuits approaching in the not-toodistant future should the semiconductor industry concentrate its resources on the next lithographic technology generation in order to enhance resolution or on providing a smooth transition to the new revolutionary 3D architecture of integrated circuits? It is essential for the whole semiconductor industry to come together and make fundamental choices leading to a cooperative and synchronized allocation of adequate resources to produce viable solutions that once introduced in a timely manner into manufacturing will enable the continuation of the growth of the electronic industry at a pace comparable or exceeding historical trends.
EUV Patterning and Process Enhancement II
icon_mobile_dropdown
Single-nm resolution techniques with DDR process and materials (Conference Presentation)
Wataru Shibayama, Shuhei Shigaki, Satoshi Takeda, et al.
EUV lithography has been desired as the leading technology for 1x or single nm half-pitch patterning. However, the source power, masks and resist materials still have critical issues for mass production. Especially in resist materials, RLS trade-off has been the key issue. To overcome this issue, we are suggesting Dry Development Rinse Process (DDRP) & Materials (DDRM) as the pattern collapse mitigation approach. This DDRM can perform not only as pattern collapse free materials for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we especially propose new approaches to achieve high resolution around hp1X nm L/S and single nm line patterning. Especially, semi iso 8nm line was successfully achieved with good LWR (2.5nm) and around 3 times aspect ratio. This single nm patterning technique also helped to enhance sensitivity about 33%. On the other hand, pillar patterning thorough CH pattern by applying DDRP also showed high resolution below 20nm pillar CD with good LCDU and high sensitivity. This new DDRP technology can be the promising approach not only for hp1Xnm level patterning but also single nm patterning in N7/N5 and beyond.
Development of amorphous silicon based EUV hardmasks through physical vapor deposition
Anuja De Silva, Yann Mignot, Luciana Meli, et al.
Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.
Fabrication and performance of transmission engineered molybdenum-rich phase structures in the EUV regime (Conference Presentation)
For applications in the Extreme Ultraviolet (EUV) region, phase-shift structures play an important role in pushing the throughput and performance of optical systems. While EUV optical elements are typically designed and fabricated for use in reflection, there are important applications in transmission as well where phase shift structures can provide substantial throughput gains. Examples are EUV microscopy and interferometry using gratings or zone plates. In the EUV regime, few materials offer a better combination of phase shift and absorption properties than molybdenum (Mo), however, drawbacks for Mo include crystalline growth complicating the etch process, and ease of oxidation which leads to diminished performance with time. Here we develop a fabrication process for transmission optical elements made of an engineered molybdenum-rich film on free-standing silicon membranes and show the performance of these phase structures in the EUV regime. We chose the fabrication of simple binary gratings of 72nm half pitch (Fig. 1) in order to establish a baseline for performance. We further addressed the oxidation concerns for Mo by developing a process to passivate the surface using atomic layer deposition (ALD) to coat a thin and conformal layer of silicon nitride while incurring minimum throughput loss. The gratings were measured for efficiency in three stages of fabrication at Lawrence Berkeley Laboratory’s Advance Light Source (Beamline 6.3.2) in Berkeley California (Fig. 2). The first measurement was prior to ALD passivation, the second measurement was immediately after passivation, and the third measurement was performed after exposure of the gratings to UV ozone used as an accelerated oxidation test. The conformal coating of silicon nitride was effective in passivating the surface of Mo features. The measurement results show that we were able to achieve a grating efficiency of approximately 18% in the 1st and -1st orders (compared to 8% possible with a conventional absorber grating on Si membrane). The results also demonstrate the effectiveness of the ALD passivation process in mitigating oxidation effects with minimal effect on performance.
Poster Session: EUV Source
icon_mobile_dropdown
Key components development progress updates of the 250W high power LPP-EUV light source
Takayuki Yabu, Yasufumi Kawasuji, Tsukasa Hori, et al.
Gigaphoton Inc. is developing a CO2-Sn-LPP EUV light source based on unique and original technologies including a high power CO2laser with 15 nanosecond pulse duration, a solid-state pre-pulse laser with 10 picosecond pulse duration, a highly stabilized droplet generator, a precise laser-droplet shooting control system and a debris mitigation system using a magnetic field. In this paper, an update of the development progress of our 250W CO2-Sn-LPP EUV light source and of the key components is presented.
Poster Session: EUV Resists and Process
icon_mobile_dropdown
A study on enhancing EUV resist sensitivity (2)
Atsushi Sekiguchi, Yoko Matsumoto, Michiya Naito, et al.
To improve EUV resist sensitivity, studies have sought to enhance EUV light absorption by adding metals characterized by high EUV light absorption to the resist polymer. This approach is intended to increase secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency [1-3]. As reported in our previous report, to determine whether adding metals characterized by high EUV light absorption actually enhances sensitivity, we performed transmittance measurements and sensitivity evaluations of resist samples doped with ZrO2 or TeO2 nanoparticles, which have low and high EUV light absorption, respectively, in molar quantities of 0-2 relative to PAG. The samples were subjected to EUV exposure at the NewSUBARU synchrotron radiation facility. The results of transmittance measurements and sensitivity evaluations showed that, while the ZrO2-doped resist showed no changes in absorption or sensitivity, the TeO2-doped resist showed enhancement in both properties. Based on these results, we confirmed that adding metals characterized by high EUV light absorption to the EUV resist enhances its EUV light absorption and increases secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency [4-5]. In the efforts discussed in the present report, we examined whether adding metals directly to PAG could further enhance sensitivity by increasing the EUV light absorption of PAG itself, thereby efficiently heightening the effect of the secondary electron emission on PAG.
Technology for defectivity improvement in resist coating and developing process in EUV lithography process
Yuya Kamei, Takahiro Shiozawa, Shinichiro Kawakami, et al.
Extreme ultraviolet lithography (EUVL) technology is getting closer to high volume manufacturing phase every year. In order to enhance the yield of EUV lithography processing, further improvement of defectivity and CD uniformity is required at the moment. In our previous report in 2017, we have exhibited the defectivity reduction by applying our new rinse and dispense system to a 24nm contact hole (CH) pattern. On the basis of the knowledge received through that evaluation, further study for improvement of the defectivity has been investigated in this paper. As a result of further optimization of the rinse process, 83 % further reduction of residue defect from the result reported previously is achieved. Also, CD uniformity control is a very crucial factor towards EUVL manufacturing phase. We have exposed 15 wafer batches continuously for both line/space and contact hole patterns in order to confirm the current status of wafer to wafer (WTW) as well as field to field (FTF), die to die (DTD), and local uniformity. Now further work for improving CD stability is ongoing based on the results from this first trial.
Development of the negative-tone molecular resists for EB/EUVL having high EUV absorption capacity and molecular design method
Takashi Sato, Tomoaki Takigawa, Yuta Togashi, et al.
In this paper, we designed the synthesis of negative-type molecular resist materials for EB and EUVL exposure tools, and their properties were examined. The resist materials for EUVL have been required showing higher sensitivity for high throughput in the lithographic process, and expecting lower shot noise to improve a roughness. In EUVL process, the resist materials must be ionized by absorbing EUV to emit more secondary electrons. The EUV absorption of the synthesized resist materials was measured using their thin films on the silicon wafer, and it was observed that the ratio of EUV absorption of the synthesized resist was higher than in the comparison of that of PHS as a reference., i.e., 2.4 times higher absorption was shown. Furthermore, we examined the relationship between the ratios of EUV absorptions and functional groups of the resist materials. As the result, the sensitivity of resist materials under EUV exposure tool was consistent with their structures.
Poster Session: EUV Pellicles
icon_mobile_dropdown
Lifetime estimation of extreme-ultraviolet pellicle at 500 W source power by thermal stress analysis
The analysis of the thermal stress and the extreme-ultraviolet (EUV) pellicle is important since the pellicle could be easily damaged since the thickness of the pellicle is 50 nm thin due to 90% required EUV transmission. One of the solution is using a high emissivity metallic material on the both sides of the pellicle and it can lower the thermal stress. However, using a metallic coating on pellicle core which is usually consist of silicon group can decrease the EUV transmission compared to using a single core layer pellicle only. Therefore, we optimized thermal and optical properties of the pellicle and elect three types of the pellicle. In this paper we simulated our optimized pellicles with 500W source power. The result shows that the difference of the thermal stress is small for each case. Therefore, our result also shows that using a high emissivity coating is necessary since the cooling of the pellicle strongly depends on emissivity and it can lower the stress effectively even at high EUV source power.
Characterization of pellicle membranes by lab-based spectroscopic reflectance and transmittance measurements in the extreme ultraviolet
Lukas Bahrenberg, Serhiy Danylyuk, Sascha Brose, et al.
In this paper, studies on the characterization of pellicle membranes with a lab-based spectroscopic reflectometer operated in the extreme ultraviolet (EUV) spectral range from 8.7 nm to 15 nm are presented. This includes the actinic wavelength of EUV lithography at 13.5 nm for high volume manufacturing as well as its neighboring spectral bands. The tool can perform spectroscopic measurements of reflectance under adjustable incidence angles of grazing illumination, ranging from 5° to 12°. Additionally, spectroscopic measurements of transmittance under normal incidence for thin membranes ⪅ 100 nm can be performed. By acquisition of a data set of transmittance and reflectance values, membranes are characterized with respect to their optical constants and their dimensional parameters such as thickness and roughness by means of reconstruction. From reconstructed optical constants further properties such as density and stoichiometry can be derived.
Search for multi-stack EUV pellicle membrane for EUV non-actinic mask inspection
Sung-Gyu Lee, Guk-Jin Kim, Su-Mi Hur, et al.
The extreme-ultraviolet (EUV) mask cannot be inspected by using actinic inspection system because there is no commercial EUV actinic mask inspection system available yet. Moreover, the EUV pellicle must be removed if the EUV mask is inspected by non-actinic inspection system, so that a novel EUV pellicle membrane is required to inspect the EUV mask without EUV pellicle removal in the non-actinic inspection system. We have attempted to find an optimum combination as the multi-stack EUV pellicle membrane which can obtain not only high EUV transmission but also high deep-ultraviolet (DUV) transmission. Graphite- and silicon nitride (SiNx)-based EUV pellicle membrane have a larger DUV intensity after passing through optics than those of silicon-based pellicle membranes. Based on these results, we believe that these multi-stack EUV pellicle membranes have high DUV transmission as well as EUV transmission and it would make better performance with respect to fidelity of through-pellicle inspection compared to well-known EUV pellicle membranes.
Thermo-mechanical behavior analysis of extreme-ultraviolet pellicle cooling with H2 flow
To protect the extreme-ultraviolet (EUV) mask from contaminations, the EUV pellicle is required. Internal temperature of EUV pellicle is increased during exposure process and then, thermal stress is also varied owing to increased temperature of EUV pellicle, so that the EUV pellicle will be broken. The cooling system by hydrogen gas (H2) flow is used to reduce internal temperature of EUV pellicle during exposure process. In order to determine the effect of cooling, we simulated variation of temperature and thermal stress for EUV pellicle membranes by using finite element method (FEM). Also, we considered a film coefficient with a few nanometer EUV pellicle thickness as simulation parameter. As a result, we determined that the cooling system of EUV pellicle by using H2 flow is efficient to decrease temperature and thermal stress of EUV pellicle during exposure process.
Lifetime impact on residual stress of EUV pellicle
Since EUV pellicle is very thin, It can be affected easily on its manufacturing process or the exposure process. The Pellicle has several types of stress, above all the pellicle has a residual stress from its manufacturing process. To determine the effect of residual stress on the pellicle, we calculated residual stress of several types of multi-layer pellicle by using formula. We could confirm that the residual stress has non-negligible values through the calculation results, and we obtained the thermal stress of each pellicle by using finite element method (FEM). we optimized the pellicle through comparison of total stress by plus the calculated residual stress and the thermal stress. As a result, since the p-Si core pellicle with B4C capping satisfies both high transparent and low total stress, we chose p-Si core pellicle with B4C capping as a suitable pellicle.
Wrinkle formation analysis in extreme-ultraviolet pellicle
In EUV lithography, one of problems is defect control, so that the EUV pellicle is required to protect EUV mask from contaminations. The EUV pellicle should be extremely thin thickness and it is easy to be deformed as wrinkle and deflection during the manufacturing and exposure process due to structural problems. The deformation can change a transmission of EUV pellicle. The variation in transmission induces the CD variation on the wafer. In this study, various structures for EUV pellicle were considered and non-uniform and uniform wrinkles caused by mechanical deformation were calculated. Even very small wrinkles are amplified by acceleration and even if just deflected pellicle produce the wrinkles.
Poster Session: EUV Patterning and Process Enhancement
icon_mobile_dropdown
Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography
Zuhal Tasdemir, Iacopo Mochi, Karen Garrido Olvera, et al.
Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a better understanding of resist fundamentals by studying the effects of the aerial image on resist performance by changing the aerial image contrast in a controlled manner using EUV-IL.
Coater/developer based techniques to improve high-resolution EUV patterning defectivity
Koichi Hontake, Lior Huli, Corey Lemley, et al.
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.
Poster Session: EUV Mask Metrology, Inspection, and Imaging
icon_mobile_dropdown
Applications of RCWA on EUV mask optics
The oblique illumination in EUVL system combined with relative thick absorber layer of EUV mask introduces many new challenges for mask simulation, like asymmetric phase deformation, shadowing effects , secondary scattering. Besides, these effects result in the ineffectiveness of the Hopkins approach and require new method for mask diffraction computation. A 3D RCWA algorithm is implemented to perform rigorous computation of lights diffracted by the EUV masks. Several examples are designed, analyzed and presented in this paper. Furthermore, a fast version of the rigorous 3D algorithm is implemented by properly decomposing the 3D model into multiple simpler ones, thus the computational time is reduced.
Poster Session: EUV Hardware
icon_mobile_dropdown
Extreme ultra violet lithographic optical projection system design method using Code V lens module and generalized Gaussian constants
Since the conception of the Generalized Gaussian Constants, and its foundation the Gaussian Bracket, is a powerful tool in analyzing complex optical systems, though up until now it has seen use almost exclusive in designing zoom lens systems. This study investigates the correspondence of Generalized Gaussian Constants to optical system properties, and demonstrates a design method in particular for the reflective projection system in EUV lithography. The detailed four-mirror design is a proof of concept of the design method, and a more complex eight-mirror design demonstrates the capacity for higher design complexity.
A new objective for EUV lithography, EUV microscopy, and 2D x-ray imaging
Manfred L. Bitter, Kenneth W. Hill, Philip C. Efthimion, et al.
This paper describes a new objective for EUV lithography, EUV-microscopy, and 2D x-ray imaging, which similar to the well-known Schwarzschild objective and which consists of two concentric, convex and concave, spherical reflectors. Its essentially new feature is that it satisfies the Bragg condition for the wavelength of interest at every point on the surfaces of both reflectors. The reflectors would be spherical multi-layer structures with a uniform 2d-spacing, in the case of EUV radiation, and spherically bent crystals, in the case of x-rays. Thanks to this new feature, it is possible to obtain two-dimensional EUV or x-ray images from a large area, at once. The advantage for EUV lithography would be that an entire mask could be imaged onto a wafer, at once, and that a scanning of the mask by a narrow beam of EUV radiation – which is being used with present systems because the Bragg condition can only locally be satisfied - would no longer be necessary.
High-precision MoSi multilayer coatings for radial and 2D designs on curved optics
The development of industrial infrastructure for EUV lithography requires a wide array of optics beyond the mask and the scanner optics, which include optics for critical instruments such as exposure testing and actinic inspection. This paper will detail recent results in the production of a variety of high-precision multilayer coatings achieved to support this development. It is critical that the optical designs factor in the capabilities of the achievable multilayer gradients and the associated achievable precision, including impact to surface distortion from the added figure error of the multilayer coating, which adds additional requirements of a specific shape to the period distribution. For example, two different coatings may achieve a ±0.2% variation in multilayer period, but have considerably different added figure error. Part I of the paper will focus on radially-symmetric spherical and aspherical optics. Typical azimuthal uniformity (variation at a fixed radius) achieved is less than ±0.005nm total variation, including measurement precision, on concave optics up to 200mm diameter. For highly curved convex optics (radius of curvature less than 50mm), precision is more challenging and the total variation increases to ±0.01nm total variation for optics 10-30mm in diameter. Total added figure error achieved has been as low as 0.05nm. Part II of the paper will focus on multilayer designs graded in two directions, rather than radially, in order to accommodate the increased complexity of elliptical, toroidal and hyperbolic surfaces. In most cases, the symmetry of the required multilayer gradient does not match the symmetry of the optical surface, and this interaction must be countered via the process design. Achieving such results requires additional flexibility in the design of the deposition equipment, and will be discussed with several examples in the paper, such as the use of variable velocity of an inline substrate carrier in conjunction with a shaped target aperture to produce ±0.03nm total variation on an off-axis elliptical surface.
Characterization of EBL2 EUV exposure facility
Edwin te Sligte, Michel van Putten, Freek T. Molkenboer, et al.
TNO has built EBL2; a facility for EUV exposure testing and surface analysis. EBL2 is capable of testing EUV optics, EUV photomasks, pellicles, and other components under controlled conditions, relevant to EUV scanner and source operation at all foreseen source power nodes. The system consists of an EUV beam line coupled to an X-ray Photoelectron Spectroscopy system by an automated sample handler. The current contribution reports on the results of the qualification testing of the EUV beam line. Topics investigated include handling and position control, thermal management, a relevant gas environment, EUV irradiation and metrology, and first EUV exposures.
Optimized phase-shifting masks for high-resolution resist patterning by interference lithography
Sascha Brose, Serhiy Danylyuk, Lukas Bahrenberg, et al.
The EUV laboratory exposure tool (EUV-LET) is a versatile stand-alone resist patterning tool. Main applications are resist characterization for industrial lithography as well as the patterning of high-resolution arrays over large areas as required in research and small-volume production. High-resolution patterns are achieved by interference lithography based on the achromatic Talbot effect. The theoretical resolution limit for achromatic Talbot lithography (ATL) of 10 nm half-pitch (HP) is mainly resist-limited, as long as necessary high-resolution transmission masks can be fabricated. In this paper we focus on the transmission mask fabrication technology with a low number of process steps that allows to maximize yield and offers high flexibility. Diffraction order efficiencies (DOEs) of the masks are optimized to achieve maximal aerial image contrast (MTF) in the achromatic Talbot distance. The developed four-step mask fabrication process of contact-hole masks with HPs of 50 nm, 40 nm and 30 nm over areas of 1 × 1 mm2 is presented. The transmission masks are characterized within the EUV-LET to determine the achievable MTF that can be used for resist patterning and characterization. Based on the carried out in-tool measurements the achievable MTF is 63.7 % and the total diffraction efficiency into the 1st diffraction orders (DOs) is 41.7 % (both for 50-nm-HP masks) which makes the masks perfectly suited for the achromatic Talbot approach. Furthermore, we demonstrate high-resolution patterning down to 28 nm HP describing an effective in-lab tool that can be used at facilities for the characterization of photoresists for the upcoming lithography generations.