Directed self-assembly patterning for forming fin field effect transistors

Silicon fins with a pitch less than 30nm and suitable critical dimensions for advanced field effect transistors are formed by directed self-assembly patterning via chemoepitaxy or self-aligned customization.
08 July 2016
Chi-chun Liu, Elliott Franke, Fee Li Lie, Stuart Sieg, Hsinyu Tsai, Kafai Lai, Hoa Truong, Richard Farrell, Mark Somervell, Daniel Sanders, Nelson Felix, Michael Guillorn, Sean Burns, David Hetzer, Akiteru Ko, John Arnold and Matthew Colburn

Directed self-assembly (DSA) of block copolymers (BCPs) is a method used to extend the scope of optical lithography, which uses a topographical or chemical guiding pattern to direct BCPs to form the desired morphology at a predetermined location. The properties of the BCPs control the feature size and uniformity of the resulting structures. This technique has become the focus of attention for use in semiconductors, hard disk drives, and non-volatile memory owing to its potential for multiplication of pattern density and defect rectification. Studies have been carried out on the compatibility of DSA with 193nm immersion lithography (193i) and high-volume manufacturing (HVM), as well as its defectivity and demonstration in devices. These studies confirm that DSA is a suitable candidate for widening the scope of lithography rather than merely being a lab-scale nanofabrication method.1–5

Purchase SPIE Field Guide to Optical LithographyOne potential application of DSA in semiconductor manufacturing is for creating a dense array of fins for fin field effect transistors. Conventional methods for the formation of fins rely on sidewall image transfer, self-aligned double patterning, or self-aligned quadruple patterning (SAQP) to create a ‘sea of fins,’ followed by two or more lithographic customization steps that remove or preserve part of the array. Customization patterns that are parallel to fins are critical, because the edges of the shapes need to be accurately placed between two adjacent fins. The tolerance for placement error, including overlay, critical dimension (CD) uniformity, line edge roughness (LER), and line width roughness of both fins and cut patterns, approaches the limits achievable with current manufacturing equipment for advanced features with a fin pitch of below 30nm. Therefore, advanced process control such as providing feedforward/feedback between lithography and etching tools, as well as maintaining uniformity within wafers, must be employed to ensure efficient fabrication and high yield. It is essential to work on improving the limiting factors, for example, CD/pitch uniformity, placement error, and LER.

We tested two DSA processes for the formation of customized silicon fins with a pitch of 27nm and appropriate depth and CD, as an example of an advanced technological feature. These processes comprised creating a sea of fins using chemoepitaxy and self-aligned cutting using 'hybrid' DSA: see Figure 1.6–8 DSA based on chemoepitaxy is similar to SAQP, which relies on a subsequent lithographic cutting step for customization. In contrast, hybrid DSA has the critical cutting information embedded in the guiding patterns, so that some fins can be removed during etch transfer without additional lithography. Both DSA processes are based on 193i with an integrated film stack, improved materials, and tool sets compatible with HVM.


Figure 1. Fins are formed via either directed self-assembly using chemoepitaxy (chemo DSA) or hybrid DSA. The stacks comprise, from top to bottom: in chemo DSA, an upper silicon nitride (SiN) layer, amorphous carbon (aC), silicon oxide (Ox), a lower SiN layer, and silicon; in hybrid DSA, a neutral layer, Ox, an organic planarization layer (OPL), Ox, SiN, and silicon. BCP: Block copolymer. HMO: Hard mask open. NTD: Negative tone development. PMMA: Polymethyl methacrylate. xPS: Crosslinkable polystyrene.

To achieve proper control of the depth and CD in the silicon layer, the hard mask (HM) stack and etching processes need to be carefully adjusted. In both chemoepitaxy and hybrid DSA, the patterning film stack on the silicon substrate contains HM layers composed of silicon oxide and silicon nitride (SiN) and lithography layers composed of a crosslinked polymer layer, thin SiN, and amorphous carbon. The stack is basically the same in both processes, but the thickness of the film could vary based on the requirements of etching. Once the DSA pattern is transferred into the silicon substrate, conventional customization and isolation processes are employed.

The pitch uniformity within wafers of silicon fins created by DSA is controlled by the DSA material and therefore remains constant from the center to the edge. This was demonstrated in cross-sectional scanning electron microscopy (SEM) images at the stage of chemical-mechanical polishing after shallow trench isolation: see Figure 2 (top). A minor variation in the fin CD from the center to the edge was still observed as a result of a variation in the etching rate across the wafer, which could be further improved. High-resolution transmission electron microscopy confirmed that the depth and CD of the fins were both close to our target values: see Figure 2 (bottom left). Figure 2 (bottom right) demonstrates the fin quality after partial removal of the oxide to reveal the active part of the fins. The fin quality achieved by chemoepitaxy DSA was confirmed to be comparable to that achieved using SAQP.


Figure 2. Scanning electron microscopy (top and bottom right) and transmission electron microscopy (TEM, bottom left) show examples of bulk silicon fins created by chemo DSA.

As fin customization becomes increasingly challenging, a self-aligned customization method such as hybrid DSA is attractive. One of the main challenges in hybrid DSA is pattern transfer. Because the fin array is customized in the early stages of the process, variations in CD and profile induced by the effects of pattern density and etch loading need to be minimized. A typical etch loading effect is a function of the CD of the gap between the masks: for example, the etch rate/depth and tapered angle increase with the CD of the gap. As a result, top-down SEM measurements of the CD for isolated structures are usually larger than for nested structures, because of the tapered profile. Our previous HM open process led to an iso/nested CD delta value of ∼12nm before silicon etching, which can mainly be attributed to etching of the organic planarization layer (OPL) and the bottom SiN HM. We then introduced a new etching chemistry for the OPL and a new SiN etching process with plasma pulsing, and the CD delta was reduced from 12 to 1.5nm. Wiggling of the HM and selectivity for SiN/silicon oxide for better retention of the HM were also improved. Figure 3 shows the quality, uniformity, and successful customization of fins using the hybrid DSA process.


Figure 3. Silicon etched with self-aligned fins cut by the hybrid DSA process.

In summary, we have demonstrated the use of chemoepitaxy and hybrid DSA for the formation of fins with a pitch of 27nm, a depth of 100nm, and a CD of around 8–10nm. Chemoepitaxy DSA has the advantages of process simplicity and uniformity in fin CD/pitch. In contrast, the self-aligned cutting process in hybrid DSA could reduce the requirement for overlay/alignment accuracy in fin customization. Our promising results in fin formation and isolation further confirm the feasibility of DSA in semiconductor applications. We are still studying remaining challenges, including reducing the LER, optimizing the CD and profile, and defect mitigation. Also, we are pursuing the electrical verification of fully integrated devices using DSA fins.

The authors would like to thank Siva Kanakasabapathy, Bob Allen, and T. C. Chen for their suggestions and support. They are truly grateful for all the support from their colleagues at IBM Albany NanoTech, including Alliance partners, IBM Almaden Research Center, and IBM T. J. Watson Research Center. This work was performed by the Research Alliance Teams at various IBM research and development facilities. Experimental materials used in this work were provided by AZ (now EMD, a subsidiary of Merck) and JSR Micro and are greatly appreciated by the authors.


Chi-chun Liu, Fee Li Lie, Stuart Sieg, Nelson Felix, Sean Burns, John Arnold, Matthew Colburn
IBM Research
Albany, NY
Elliott Franke, Richard Farrell, David Hetzer, Akiteru Ko
TEL Technology Center, America, LLC
Albany, NY

Hsinyu Tsai, Kafai Lai, Michael Guillorn
IBM Research
Yorktown Heights, NY

Hoa Truong and Daniel Sanders
IBM Research
San Hose, CA
Mark Somervell
Tokyo Electron America, Inc.
Austin, TX

References:
1. P. Rincon Delgadillo, R. Harukawa, M. Suri, S. Durant, A. Cross, V. R. Nagaswami, D. Van Den Heuvel, R. Gronheid, P. Nealey, Defect source analysis of directed self-assembly process (DSA of DSA), Proc. SPIE 8680, p. 86800L, 2013. doi:10.1117/12.2011674
2. P. A. Rincon Delgadillo, R. Gronheid, G. Lin, Y. Cao, A. Romo, M. Somervell, K. Nafus, P. F. Nealey, Process sensitivities in exemplary chemo-epitaxy directed self-assembly integration, Proc. SPIE 8680, p. 86801H, 2013. doi:10.1117/12.2011446
3. C.-C. Liu, C. Estrada-Raygoza, H. He, M. Cicoria, V. Rastogi, N. Mohanty, H. Tsai, et al., Towards electrical testable SOI devices using Directed Self-Assembly for fin formation, Proc. SPIE 9049, p. 904909, 2014. doi:10.1117/12.2046462
4. M. Somervell, T. Yamauchi, S. Okada, T. Tomita, T. Nishi, E. Iijima, T. Nakano, et al., High-volume manufacturing equipment and processing for directed self-assembly applications, Proc. SPIE 9051, p. 90510N, 2014. doi:10.1117/12.2045975
5. Y. Seino, H. Kato, H. Yonemitsu, H. Sato, M. Kanno, K. Kobayashi, A. Kawanishi, T. Azuma, Application of directed self-assembly lithography to semiconductor device manufacturing process, Electron. Commun. Jpn. 98, p. 59-64, 2015.
6. J. Cheng, G. S. Doerk, C. T. Rettner, G. Singh, M. Tjio, H. Truong, N. Arellano, et al., Customization and design of directed self-assembly using hybrid prepatterns, Proc. SPIE 9423, p. 942307, 2015. doi:10.1117/12.2086973
7. C.-C. Liu, E. Han, M. S. Onses, C. J. Thode, S. Ji, P. Gopalan, P. F. Nealey, Fabrication of lithographically defined chemically patterned polymer brushes and mats, Macromolecules 44, p. 1876-1885, 2011.
8. C.-C. Liu, E. Franke, F. L. Lie, S. Sieg, H. Tsai, K. Lai, H. Truong, et al., DSA patterning options for FinFET formation at 7nm node, Proc. SPIE 9777, p. 97770R, 2016. doi:10.1117/12.2219670
Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research