Mask absorber optimization for enhanced microlithography

A fundamental understanding of 3D photomask-induced phase effects is required to determine the best absorber for particular lithographic purposes.
17 June 2015
Jo Finders and Jean Galvier

Mask 3D effects are the impacts that the finite height of patterned features has on a photomask. These effects were first observed eight years ago when switching from un-polarized to polarized light during a 193nm lithography process for the printing of a dense grating.1 A difference between the best focus position (i.e., when the highest contrast for the projected image is achieved) for transverse electric and transverse magnetic polarized light was observed and was linked to the difference in the phase of the diffracted orders. Over the years, the number of lithographic effects that have been observed during wafer printing—and which can be linked to mask topography—have been steadily growing. As more and more of these effects are observed, however, there is an increasing need for a fundamental description of the mask topography-induced effects.

Purchase SPIE Field Guide to Optical LithographyWe previously observed and described several 3D mask effects. We found that features with different pitch can have best focus differences of up to 60nm, which thus limits the overlapping depth-of-focus among features.2 We have also often observed a left–right critical dimension asymmetry that cannot be explained by traditional projection lens aberrations. Asymmetric absorber geometry on the reticle, however, can cause these effects.3

We have used a systematic approach—similar to that used for the study of projection lens aberrations—to analyze and describe the phase of diffracted orders within lithography processes. Using this methodology we recently observed a contrast loss for semi-isolated features.4 We are able to distinguish between low-order even aberrations that drive the best focus difference, low-order odd aberrations that drive the pattern asymmetry and contrast loss, and high-order aberrations that drive the contrast loss. We have used the phase range of the diffracted orders as a metric for setting up lithography processes. We are able to link the performance of different mask absorber types and parameters with the phase range in the diffracted orders.

Our approach resembles lithographic phenomena that are observed on wafers and linked to certain spatial frequencies and to the magnitude of projection lens aberrations. Indeed, a similar approach may also be applicable for reticle-induced phase effects. A reticle must be seen as a complex optical element that changes the phase and intensity of the light as it passes through. A Zernike description for the phase effects we observe—as for projection lenses—might be appropriate. Alternatively, a Jones matrix approach may be applicable to explain the phase and intensity effects for different polarization states. Although a reticle is much smaller than an optical element, we find that the induced phase can be 10–50 times larger than that of a state-of-the-art projection lens.

The phase effects that we observe are large, and the impact on the resultant lithographic performance is great (i.e., 40–60nm best focus difference and 30% contrast loss). The need for mitigation strategies is therefore increasing. These techniques include illumination angle optimization, reticle feature biasing,5 counteracting the mask 3D-induced phase by introducing an opposite phase in the projection lens,2 and mask absorber optimization.4, 6,7 In the 1.35 numerical aperture immersion lithography process for the fabrication of an 80nm pitch grating, however, there is little scope to change the illumination setting. An extreme off-axis setting is therefore required to resolve the 40nm half-pitch grating. This means that the 3D mask-induced phase for a given mask stack is fixed. We have studied the impact of mask stack properties on the lithographic figures for this case. We first conducted a simulation study and found that best focus differences changed significantly with changing mask absorber thickness. We then designed an experiment to test mask stack properties and to measure/simulate the associated lithographic responses. With these experiments we were able to find an optimum absorber thickness, with which it is possible to almost eliminate best focus differences.7

With the use of a 3D mask-induced phase formalism, we can now understand that it is possible to tune mask stack parameters to reduce 3D mask-induced phase and to improve lithographic figures of merit (see Figure 1).4 This is a direct analogue to projection lens aberrations, i.e., improvements in projection lens wave front performance provide better lithographic figures of merit, and can be expressed in terms of phase root mean square. Our new method, however, has a large associated work impact. It is a major effort to change the absorber and has significant consequences for the fabrication of masks and for corrections of optical proximity. Consideration of the correct mask absorber, to optimize a particular lithographic purpose, should thus be made long before manufacturing begins.


Figure 1. Calculated phase across diffraction orders in the transfer electric polarized light used in 1.35 numerical aperture immersion lithography. Results are shown for two different absorber mask stacks and three coherence settings (incidence angles). Top: Results for a thin binary stack. Bottom: Results for an optimized phase-shift mask designed by ASML (AH1, courtesy of Hoya). The mask feature used for these calculations had a critical dimension of 40nm and a pitch of 400nm. POR: Process of record.

We have conducted a series of experiments to systematically investigate 3D mask effects in lithographic processes. We find that an optimum absorber thickness can be used to practically eliminate best focus differences. Our work paves the way toward extreme UV (EUV) lithographic techniques for which our findings and methodology are applicable. The case of EUV lithography, however, is more complex than for immersion lithography. This is because both the mask absorber and the multilayer substrate can alter the phase of the diffracted light in the EUV technique. We are currently continuing to evaluate various solutions for the mitigation of mask 3D effects for EUV lithography.


Jo Finders
ASML
Veldhoven, The Netherlands

Jo Finders has more than 20 years of experience in the field of optical lithography. He is currently an imaging fellow and leader of the EUV imaging and focusing group in the system engineering department.

Jean Galvier
STMicroelectronics
Crolles, France

References:
1. J. Ruoff, J. T. Neumann, E. Schmitt-Weaver, E. van Setten, N. le Masson, C. Progler, B. Geh, Polarization-induced astigmatism caused by topographic masks, Proc. SPIE 6730, p. 67301T, 2007. doi:10.1117/12.747039
2. J. Finders, M. Dusa, P. Nikolsky, Y. van Dommelen, R. Watso, T. Vandeweyer, J. Beckaert, B. Laenens, L. Van Look, Litho and patterning challenges for memory and logic applications at the 22-nm node, Proc. SPIE 7640, p. 76400C, 2010. doi:10.1117/12.848330
3. J. Finders, T. Hollink, Mask 3D effects: impact on imaging and placement, Proc. SPIE 7985, p. 79850I, 2011. doi:10.1117/12.896909
4. J. Finders, J. Galvier, Mask 3D induced phase and the mitigation by absorber optimization, Proc. SPIE 9426, p. 942605, 2015. doi:10.1117/12.2178288
5. P. Liu, X. Xie, W. Liu, K. Gronlund, Fast 3D thick mask model for full-chip EUVL simulations, Proc. SPIE 8679, p. 86790W, 2013. doi:10.1117/12.2010818
6. J. Finders, O. Mouraille, A. Bouma, A. Ngai, K. Grim, J. van Praagh, C. Toma, et al., Impact of reticle absorber on the imaging properties in ArFi lithography, Proc. SPIE 8352, p. 83520G, 2012. doi:10.1117/12.918018
7. J. Finders, The impact of mask 3D and resist 3D effects in optical lithography, Proc. SPIE 9052, p. 905205, 2014. doi:10.1117/12.2047279
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research