Vectorial resolution enhancement: better fidelity for immersion lithography

Resolution enhancement based on vectorial and multi-parameter co-optimization reduces the complexity and fabrication cost of pixelated masks and sources for lithography.

18 September 2014
Yanqiu Li, Xu Ma, Xuejia Guo and Lisong Dong

As integrated circuits' minimum feature size, known as the critical dimension (CD), decreases, lithographic resolution must increase. Lithographic resolution depends primarily on the wavelength of light used to process the chips—theoretically, the CD can be as small as half a wavelength—but resolution enhancement techniques (RETs) have been developed to get the finest resolution possible from a system in practice. Traditional RETs used in lithography include optical proximity correction (OPC) and source mask optimization (SMO).1, 2 However, most of these RETs are based on scalar imaging models and optimize certain parameters under fixed process conditions and fixed numerical aperture (NA). We suggest that a so-called vector model be adopted in immersion lithography because such a system uses polarized light to illuminate the mask. Polarized light enhances the image contrast and the resolution. Using our rigorous vector imaging model, we developed RETs that can use up to 70 parameters for a mask, process, and lithography tool co-optimization (MPLCO) technique.3–8

Purchase SPIE Field Guide to Optical LithographyWe investigated a set of gradient-based, pixelated vector OPC and SMO algorithms and optimization strategies with fixed NA and process parameters. A pixelated vector OPC successfully enhanced image fidelity, and compensated for the effects of wavefront aberration and polarization aberration (see Figure 1). We developed three kinds of gradient-based SMO algorithms and different optimization strategies under the vector imaging model, referred to as the simultaneous SMO, sequential SMO, and hybrid SMO methods. The hybrid SMO method outperformed others by achieving better image fidelity, process window, and convergence properties, using just two additional dimensions of source shape and intensity (see Figures 2 and 3). Figure 2 shows the best image fidelity using hybrid SMO at the best focus position. For image patterns with better fidelity, Figure 3(a) shows the initial and optimized mask and source obtained by vector OPC and hybrid SMO plus a source post-processing method (SPM) in the case of different patterns, such as isolated line/space, semidense line/space, and contact. SPM is applied to remove the faint and singular source pixels. The process windows are enlarged using hybrid SMO+SPM as shown in Figure 3(b). However, the resulting pixelated source and mask will be expensive to fabricate.


Figure 1. Performance comparison between optical proximity correction (OPC) optimization algorithms based on scalar and vector imaging models, binary mask, and target image with a critical dimension (CD) of 45nm.3

Figure 2. A comparison of the pattern fidelity of different source mask optimization (SMO) strategies at the best focus position, with a binary mask and target image CD of 45nm.7

Figure 3. Improvement of process windows using vector SMO: (a) initial and optimized source and mask obtained with OPC and hybrid SMO plus source post-processing (SPM) (in the columns) for different patterns (in the rows); (b) the process windows for the patterns of isolated line/space (left), semidense line/space (middle), and contact (right). AttPSM: Attenuated phase-shift mask. The target image CD is 45nm.6DOF: Depth of field. L/S: Line/space. HSMO+SPM: Hybrid SMO plus source post-processing method.

In order to reduce the complexity and fabrication cost of pixelated masks and sources, we developed the MPLCO technique using a normalized conjugate gradient algorithm. The parametric mask and source met the requirements of high feature fidelity within a larger process window by optimizing the mask, process, and lithography tool parameters simultaneously (unlike in the past). Their influence on lithography performance can be understood using vector imaging theory, and careful optimization allows them to compensate for each other.

To demonstrate the validity of the MPLCO technique, we selected eight parameters, including those influencing the mask, process, and lithography tool. For the mask parameters, the mask bias and feature transmittance were optimized. For the process parameters, the hotplate duration, transition duration, chillplate duration, and photoresist development time were optimized. For the lithography tool parameters, the outer partial coherence factor (σout) of annular illumination and the NA were selected for optimization. The initial and optimal parameters are listed in Table 1. The initial parameters came from previous SMO. The results are shown in Figure 4. Figure 4(a) shows the source and mask obtained via pixelated SMO and MPLCO, respectively. Figure 4(b) compares the process windows obtained by pixelated SMO and MPLCO. Usually the exposure latitude of immersion lithography tools can be controlled within 5%. The depth of focus, which here is greater than 530nm, is enlarged significantly by using MPLCO. This is very important for the chip process as well as wafer flatness and stage tolerance. They show MPLCO's superiority, both for improving the process-variation robustness of lithography systems, as well as for optimization of the parametric mask and source.

Table 1.Initial and optimal parameters for the lithography tool. σout: Outer partial coherence factor of the annular illumination source. NA: Numerical aperture.
ParametersInitialMPLCO
Mask Mask bias (nm) 10 16.31
Feature transmittance 0.06 0.17
Process Hotplate duration (s) 60 59.8
Transition duration (s) 10 9.96
Chillplate duration (s) 30 29.91
Development time (s) 12 24.37
Lithography tool σout 0.86 0.92
NA 1.2 1

Figure 4. Comparison of the optimized source shapes, phase-shifting mask, and process windows obtained by the vector SMO and mask, process, and lithography tool co-optimization (MPLCO).8The target image CD is 45nm. EL: Exposure latitude. σ: Partial coherence factor of the annular illumination source.

The parametric source and mask greatly reduce the complexity and cost of fabrication compared with the pixelated mask and source obtained via OPC or SMO. The large process window and low cost of the MPLCO method could be attractive for large-volume manufacturing. The primary challenge will be to extract the proper mathematical model for the 3D mask, imaging, and photoresist from the full vector model, as the current technique requires significant computing time.

In conclusion, our techniques significantly improve the image fidelity, extend the process window, reduce the complexity of corrected phase-shifting mask and source, and improve the ease with which they can be manufactured. Our work in this area now focuses on imaging theory, RET, and co-optimization of the lithography tool, mask, and process. In the future, we hope to cooperate with the integrated circuit industry and research institutes, and transfer our technology to them.

This work was supported by grants from the Key Program of the National Natural Science Foundation of China (NSFC) under grant 60938003, and the National Science and Technology Major Project and NSFC under grant 61204113. We thank KLA-Tencor and Mentor Graphics Corporation for providing academic use of the Positive Resist Optical LITHography (PROLITH) simulator and Calibre.


Yanqiu Li, Xu Ma, Xuejia Guo, Lisong Dong
Beijing Institute of Technology
Beijing, China

Yanqiu Li is a professor in the School of Optoelectronics. Her research focuses on high-resolution imaging, optical system design, and optical measurement. In the past, she worked as an associate professor at Harbin Institute of Technology, China, and as a senior engineer at Nikon, Japan.

Xu Ma is a professor in the School of Optoelectronics. He holds 16 Chinese patents and has published more than 20 papers in the resolution enhancement technique field. His research interests include computational lithography and signal and image processing.


References:
1. A. K. Wong, Resolution Enhancement Techniques in Optical Lithography , SPIE, 2001. doi:10.1117/3.401208
2. X. Ma, G. R. Arce, Computational Lithography , Wiley, 2010.
3. X. Ma, Y. Li, L. Dong, Mask optimization approaches in optical lithography based on a vector imaging model, J. Opt. Soc. Am. A 29(7), p. 1300-1312, 2012.
4. X. Ma, Y. Li, X. Guo, L. Dong, G. R. Arce, Vectorial mask optimization methods for robust optical lithography, J. Micro/Nanolith. MEMS MOEMS 11(4), p. 043008, 2012.
5. X. Ma, C. Han, Y. Li, L. Dong, G. R. Arce, Pixelated source and mask optimization for immersion lithography, J. Opt. Soc. Am. A 30(1), p. 112-123, 2013.
6. X. Ma, C. Han, Y. Li, B. Wu, Z. Song, L. Dong, G. R. Arce, Hybrid source mask optimization for robust immersion lithography, Appl. Opt. 52(18), p. 4200-4211, 2013.
7. X. Ma, Z. Song, Y. Li, G. R. Arce, Block-based mask optimization for optical lithography, Appl. Opt. 52(14), p. 3351-3363, 2013.
8. X. Guo, Y. Li, L. Dong, L. Liu, Co-optimization of the mask, process, and lithography-tool parameters to extend the process window, J. Micro/Nanolith. MEMS MOEMS 13, p. 013015, 2014.
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research