Improving mask metrology for semiconductor manufacture

A model-based scheme for characterizing mask features may enhance semiconductor pattern placement, enabling manufacturers to meet shrinking lithography error budgets and improve production yields.
10 February 2014
Klaus-Dieter Roeth, Frank Laske, Slawomir Czerkas and Mark Wagner

In patterning semiconductor devices, the process of aligning critical layers on a wafer—the overlay—at 20nm half-pitch (half of the period from feature to feature in a periodic grid) requires error margins, or ‘budgets,’ of 4nm or less. To achieve this kind of performance, it may not be enough to characterize the overlay with a single number (3σ), measured on a relatively sparse grid of targets (artificial structures, such as crosses, which are added to the design to enable metrology). Furthermore, differences between the overlay on targets and that on device patterns need to be measured and controlled.

The mask has always been one contributor to the wafer overlay budget. Yet, pattern placement (registration) on masks has traditionally been characterized by a single value, derived from measurement of fewer than 100 standard targets. It is not certain that this approach will guarantee future mask performance and, by association, future wafer performance. We require new tools and analyses, able to provide richer characterization of systematic and random mask errors.1


Figure 1. Measuring subsamples of mask pattern placement (registration) at 170 sites reveals wide variations in the three-standard-deviation measure (3σ) of the overlay (the alignment of layers on the wafer). Here, the mask was measured at 700 sites with 3σ of 4.9nm, but the subsamples produce 3σ of 3.9nm (left) and 6.1nm (right), which may present inaccuracies when verifying mask quality.

Measurements reveal that the actual mask quality with respect to registration depends on the number and the selection of mask measurement sites.2 Figure 1 shows a mask with registration measured at 700 sites, including a variety of different device features. The 3σ is 4.9nm, but different subsamples of 170 sites, both of which have reasonable mask coverage, produce quite different 3σ values. One subsample underestimates 3σ at 3.9nm, while the other overestimates 3σ at 6.1nm. Such estimates could lead to substandard masks appearing acceptable, or to good masks being rejected.


Figure 2. Pattern-dependent shifts observed on contacts of different error and pitch, as well as isolated crosses down to lines of 65nm width.

Further evaluations reveal systematic pattern-dependent shifts (see Figure 2). Currently, these shifts are not recognized because measurements are taken on only one kind of standard target. Characterization using denser grids and a variety of patterns requires measurement capability anywhere on the mask and on any kind of feature (see Figure 3). However, today's registration metrology systems cannot accurately measure patterns of arbitrary shape inside the active area of the device. Even the tightest manufacturing tolerances of the metrology machine optics induce measurement shifts that are dependent on pattern shape and size. These errors may be larger than the expected displacement error of the patterns themselves. To overcome these basic imperfections, we need to correct during measurement. We have developed a model-based registration measurement scheme, able to measure any type of feature accurately, and therefore allowing a gauge of registration performance at any place on the mask. We model the residual optical errors of the metrology tool, as well as the proximity effects of neighboring structures on the masks, and we correct the pattern placement measurement by applying the models.


Figure 3. In-die metrology (inside the active array) enables higher sampling rates. Achieving accurate measurement of features of any shape is crucial for future performance.

We demonstrated our method in determining the registration error of ‘in-die’ features—those inside the active array. For reference, we measured the same grid on standard registration crosses using the traditional edge-based method (measuring the center position of two parallel edges) and the new model-based method. All features showed a very similar registration signature over the mask (see Figure 4) and the measurement precision was equivalent. This test reveals that our model-based registration mode provides accurate measurement performance on in-die features of any shape.


Figure 4. Measurements of various in-die patterns, including a standard registration cross, using the traditional edge-based method and the new model-based method.

Pattern placement on the mask is also affected by the systematic and random noise of the electron-beam (e-beam) writer. We used a standard edge-detection mode (not model-based) to measure e-beam performance. Figure 5 shows a plot of the measurement results: random noise was determined to be 1.6nm, 3σ, and the local signature of the e-beam writer was 1.9nm, range/2. We verified measurement accuracy by rotating the mask through 90°. The signature and the 3σ value did not change when the mask was rotated.


Figure 5. Local registration measured on 1600 dense contacts to assess e-beam performance. Random noise was determined to be 1.6nm, 3σ, and the local signature of the e-beam writer was 1.9nm, range/2.

Measurement and control of wafer overlay at nodes below 20nm half-pitch will require richer characterization of mask registration than has been needed or available in the past. To achieve this, we need denser grids to pick up global signatures, the quantifying of pattern-dependent shifts, and measurement of local e-beam writer signatures and noise. It will be necessary to measure a wide variety of patterns, which demand new and accurate measurement schemes, such as the model-based registration method we have demonstrated.

Our next step is to verify the contribution of the mask to overlay using pairs of product masks to derive registration error maps for comparison, which would enable calculation of the mask-to-mask overlay contribution to wafer overlay. In addition, we will develop flows and software to make use of the mask data to feed back to e-beam writers, and to feed forward to wafer scanners (see Figure 6).


Figure 6. Characterization of mask registration. Feedback goes to the e-beam writer. Feed-forward goes to the wafer scanner. LMS IPRO5+: Mask metrology system.

We would like to thank Toppan Photomasks for providing a test sample for the in-die measurement evaluation.


Klaus-Dieter Roeth, Frank Laske, Slawomir Czerkas
KLA-Tencor MIE GmbH
Weilburg, Germany

Klaus-Dieter Roeth studied physics at Duesseldorf and Giessen, Germany, and holds a PhD in biophysics. He joined Leica Microsystems in 1988 as an application engineer, and is currently product marketing manager at KLA-Tencor for registration metrology tools.

Mark Wagner
KLA-Tencor Israel
Migdal Haemek, Israel

References:
1. http://www.nist.gov/pml/div683/conference/upload/Hughes.pdf. Developments in mask metrology. Accessed 14 January 2014
2. F. Laske, In-die mask registration for multi-patterning, Proc. SPIE 8880, p. 888024, 2013. doi:10.1117/12.2027200
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research