Carbon nanotubes for next-generation interconnects

Multi-walled carbon nanotubes and single-walled carbon-nanotube bundles can replace copper interconnection wires in very-large-scale integration circuits.
17 January 2011
Ashok Srivastava, Yao Xu and Ashwani Sharma

Nanometer CMOS technology, especially at 22nm and below, is plagued by performance degradation of conventional copper (Cu)/low-dielectric-constant (k) dielectrics, which are used as interconnects for gigascale integration. Koo and coworkers1mentioned the effect of scaling on surface and grain-boundary scattering and electromigration in Cu interconnects,2detailing the degradation of parameters such as latency and power dissipation. A subsequent need for materials to possibly replace Cu/low-k dielectric interconnections has brought forward development of novel technologies for next-generation very-large-scale integration (VLSI) circuit interconnects. Optical interconnects have been suggested for on-chip integration3–5 but face serious integration problems. Although optical interconnects are still being investigated because of their inherent advantages over Cu, other new technologies such as capacitively driven low-swing interconnects have also evolved.1 Carbon nanotubes (CNTs) and graphene nanoribbons have emerged as promising candidates for next-generation VLSI interconnects.6–13 In the search for novel interconnect technologies, no material has aroused as much interest as carbon nanomaterials since the discovery of the CNT in 1991.14

The excellent electrical, mechanical, and thermal properties15,16 of 1D CNTs have made them one of the most promising materials for applications in nano-electronics6,7,10,17 and micro/nanosystems.18 CNTs have a current density of ∼1010A/cm2, which is two to three orders of magnitude higher than Cu. Their mean free path is in the micrometer range, compared to ∼40nm for Cu. This large mean free path allows ballistic transport of electrons over a longer distance, resulting in reduced resistivity, while strong atomic bonds19 provide tolerance to electromigration.1,9 Meanwhile, higher thermal conductivity compared to Cu makes CNTs suitable for use as tall vias in 3D integrated circuits.13,20,21


Figure 1.Geometry of a single-walled carbon nanotube (SWCNT). r, l: Cylinder radius, length. S: Shell.

We recently22,23 modified the 2D fluid model of CNTs to include electron-electron repulsive interaction and built a semi-classical 1D fluid model. In this model, a metallic single-walled CNT (SWCNT) is represented by a transmission-line model. The SWCNT is regarded as a single-atom-thick graphene sheet rolled to form a tube (see Figure 1). The cylinder's axis is oriented along the zaxis of the reference system. The conduction electrons are distributed on the lateral surface of the cylindrical shell. In addition to its accuracy, the 1D fluid model is mathematically simple and easy to extend to electronic-transport modeling of multi-walled CNTs (MWCNTs) and SWCNT bundles as interconnections. We used our fluid model to calculate each parameter in the distributed resistor-inductor-capacitor circuit models for SWCNT, MWCNT, and SWCNT-bundle interconnects.23,24

We also obtained an analytical solution for current transport (static model) in CNT field-effect transistors (FETs)25 and a dynamic model26 for analysis and design of CNT-FET-based integrated circuits. Figure 2 shows the CNT-FET inverter pair. The interconnect can be a Cu wire, MWCNT, or SWCNT bundle.


Figure 2.Inverter pair with interconnect. Each inverter has n- and p-type carbon nanotube (CNT) field-effect transistors (FETs). Vin, Vout: Input, output voltage. VDD, VSS: Positive, negative power-supply voltage.

Figure 3 shows the transient response of a CNT-FET inverter pair connected with 10μm-long MWCNT and SWCNT-bundle interconnects. It also shows a comparison of the transient response for an ideal interconnect (assuming direct connection) and a Cu interconnection wire. The propagation delays of MWCNT interconnects (β=1 and 1/3) are close to an ideal interconnect and smaller than SWCNT-bundle and Cu connections. Here, β is the ratio of the metallic to total number of shells in a MWCNT or the metallic to total number of SWCNTs in a SWCNT bundle. Compared to MWCNTs, the resistance of SWCNT-bundle interconnects is smaller, but their capacitance is much larger. As a result, the propagation delay of MWCNTs is smaller than that of SWCNT bundles. The propagation delays are smaller for β=1 than for β=1/3 for both MWCNT and SWCNT-bundle interconnects, because there are more interconnect channels when β increases.


Figure 3.Output waveforms of a CNT-FET inverter pair with copper (Cu), multi-walled CNT (MWCNT), and SWCNT-bundle interconnects of 10μm length. β: Ratio of metallic to total number of shells in MWCNT or metallic to total number of SWCNTs in SWCNT bundle.

We have used process parameters for the 22nm-technology node27 to simulate interconnect delays for different lengths of Cu wire, MWCNT, and SWCNT-bundle interconnects corresponding to ballistic-transport, local-, and global-interconnect lengths (see Figure 4). The increase in delay for Cu interconnects is larger than that for MWCNT and SWCNT-bundle interconnects. The delays of MWCNT interconnects are smaller than those of SWCNT-bundle and Cu interconnects. The delays are smaller for both MWCNT and SWCNT-bundle interconnects for β=1. For β=1/3, MWCNT interconnects show an increase in delay, but it is still lower than for SWCNT-bundle interconnects.


Figure 4.Propagation delays of interconnects of different lengths for 22nm technology.

Power dissipation is another challenge. We simulated power dissipation for MWCNT and SWCNT-bundle interconnects at the 22nm-technology node and compared it with Cu-wire interconnects. Table 1 summarizes the power-dissipation ratio of MWCNT and SWCNT-bundle (β=1/3 and 1) interconnects with respect to a Cu interconnect. CNT interconnects dissipate less power, especially for local interconnections. Maximum power dissipation in CNT interconnects is no more than the 8% of Cu interconnects.

Table 1.Power dissipation of CNT interconnects.
Type of CNTNormalized power dissipation (%)
Length (μm)
110100500
MWCNT (β=1)0.0700.0650.3391.422
MWCNT (β=1/3)0.3590.4182.1827.591
SWCNT bundle (β=1)0.0110.0150.0790.137
SWCNT bundle (β=1/3)0.0360.0470.2560.688

In summary, the 1D fluid model can be applied to CNT interconnects using low-resistance contacts in current low-voltage nanometer CMOS technologies. We theoretically explored the applicability of MWCNTs and SWCNT bundles as interconnect wires for next-generation integrated circuits and compared it with Cu interconnects at the 22nm-technology node. Our study shows that MWCNT and SWCNT-bundle interconnects perform better than Cu interconnects. CNT interconnects exhibit smaller delays and less power dissipation. Our study of scattering parameters23 using two-port network analysis shows that MWCNT and SWCNT-bundle interconnects exhibit higher transmission efficiencies, lower reflection losses, smaller delays, and less power dissipation. This is mainly caused by larger conductivity of MWCNTs and SWCNT bundles, which is proportional to both the number of conducting shells in a MWCNT and the number of conducting SWCNTs in a SWCNT bundle. The delays in MWCNT and SWCNT-bundle interconnects can be further decreased as β increases and approaches unity. With an increase in length, the delay of Cu interconnects increases faster than that of MWCNT and SWCNT-bundle interconnects. These findings suggest that MWCNTs and SWCNT bundles can replace Cu as interconnection wires in next-generation VLSI integrated circuits. This represents part of our future research efforts.

Part of this work is supported by the US Air Force Research Laboratory (AFRL) under agreement FA9453-10-1-0002. The US Government is authorized to reproduce and distribute reprints for Government purposes notwithstanding any copyright notation thereon. Authors thankfully acknowledge Clay Mayberry (US AFRL) for encouragement and support.


Ashok Srivastava, Yao Xu
Department of Electrical and Computer Engineering, Lousiana State University
Baton Rouge

Ashok Srivastava is a professor whose current research interests include low-power VLSI circuit design and testability (digital, analog, and mixed-signal), nano-electronics, and noise in devices and integrated circuits.

Yao Xu received his BS and MS degrees in photo-electronics from Tsinghua University (China) and the Chinese Academy of Sciences, respectively, and his MS in electrical engineering from Louisiana State University. His current research interests include nano-electronics (quantum-electronic devices, CNT interconnects, CNT-FETs, and integrated circuits), and low-power VLSI circuit design.

Ashwani Sharma
Electronics Foundations Group, US Air Force Research Laboratory/Space Electronics and Protection Branch (VSSE)
Kirtland Air Force Base

Ashwani Sharma received his BS, MS, and PhD in electrical engineering from the University of New Mexico. His research interests include physics of nanostructures, nanoscale semiconductor-device fabrication techniques, and nanodevices for high-speed and low-power-consumption electronic/opto-electronic applications. He also holds an electrical-engineering research-faculty position at the University of New Mexico.


References:
1. K.-H. Koo, P. Kapur, K. C. Saraswat, Compact performance models and comparison for gigascale on-chip global interconnect technologies, IEEE Trans. Electron Dev. 56, no. 9 pp. 1787-1798, 2009. doi:10.1109/TED.2009.2026196
2. C. Ryu, K.-W. Kwon, A. L. S. Loke, H. Lee, T. Nogami, V. M. Dubin, R. A. Kavari, G. W. Ray, S. S. Wong, Microstructure and reliability of copper interconnects, IEEE Trans. Electron Dev. 46, no. 6 pp. 1113-1120, 1999. doi:10.1109/16.766872
3. D. A. B. Miller, Optical interconnects to silicon, IEEE J. Sel. Top. Quant. Electron. 6, no. 6 pp. 1312-1317, 2000. doi:10.1109/2944.902184
4. G. Chen, H. Chen, M. Haurylau, N. A. Nelson, D. H. Albonesi, P. M. Fauchet, and E. G. Friedman, Predictions of CMOS compatible on-chip optical interconnect, Integration VLSI J. 40 (4), pp. 434-446, 2007. doi:10.1016/j.vlsi.2006.10.001
5. P. Kapur, K. C. Saraswat, Comparisons between electrical and optical interconnects for on-chip signaling, Proc. IEEE Int'l Interconn. Technol. Conf., pp. 89-91, 2002. doi:10.1109/IITC.2002.1014897
6. M. Haselman, S. Hauck, The future of integrated circuits: a survey of nanoelectronics, Proc. IEEE 98, no. 1 pp. 11-38, 2010. doi:10.1109/JPROC.2009.2032356
7. A. Maffucci, Carbon nanotubes in nanopackaging applications, IEEE Nanotechnol. Mag. 3, no. 3 pp. 22-25, 2009. doi:10.1109/MNANO.2009.934214
8. A. G. Chiariello, G. Miano, A. Maffucci, Carbon nanotube bundles as nanoscale chip to package interconnects, Proc. 9th IEEE Conf. Nanotechnol., pp. 58-61, 2009.
9. J.-H. Ting, C.-C. Chiu, F.-Y. Huang, Carbon nanotube array vias for interconnect applications, J. Vac. Sci. Technol. B 27, no. 3pp. 1086-1092, 2009. doi:10.1116/1.3123330
10. N. Alam, A. K. Kureshi, M. Hasan, T. Arslan, Carbon nanotube interconnects for low-power high-speed applications, Proc. IEEE Int'l Symp. Circ. Syst., pp. 2273-2276, 2009. doi:10.1109/ISCAS.2009.5118252
11. M. R. Stan, D. Unluer, A. Ghosh, F. Tseng, Graphene devices, interconnect and circuits, Proc. IEEE Int'l Symp. Circ. Syst., pp. 69-72, 2009. doi:10.1109/ISCAS.2009.5117687
12. N. Srivastava, L. Hong, F. Kreupl, K. Banerjee, On the applicability of single-walled carbon nanotubes as VLSI interconnects, IEEE Trans. Nanotechnol. 8, no. 4 pp. 542-559, 2009. doi:10.1109/TNANO.2009.2013945
13. H. Li, C. Xu, N. Srivastava, K. Banerjee, Carbon nanomaterials for next-generation interconnects and passives: physics, status, and prospects, IEEE Trans. Electron Dev. 56, no. 9 pp. 1799-1821, 2009. doi:10.1109/TED.2009.2026524
15. R. Saito, M. S. Dresselhaus, G. Dresselhaus, Physical Properties of Carbon Nanotubes, Imperial College Press, London, 1998.
16. M. S. Dresselhaus, G. Dresselhaus, P. Avouris, Carbon Nanotube: Synthesis, Properties, Structure, and Applications, Springer, 2001.
17. P. Avouris, J. Appenzeller, R. Martel, S. L. Wind, Carbon nanotube electronics, Proc. IEEE 91, no. 11 pp. 1772-1784, 2003. doi:10.1109/JPROC.2003.818338
18. T. S. Cho, K.-J. Lee, J. Kong, A. P. Chandrakasan, A low power carbon nanotube chemical sensor system, Proc. IEEE 2007 Custom Integr. Circ. Conf., pp. 181-184, 2007.
19. J. W. G. Wilder, L. C. Venema, A. G. Rinzler, R. E. Smalley, C. Dekker, Electronic structure of atomically resolved carbon nanotubes, Nature 391, pp. 59-62, 1998. doi:10.1038/34139
20. T. Xu, Z. Wang, J. Miao, X. Chen, C. M. Tan, Aligned carbon nanotubes for through-wafer interconnects, Appl. Phys. Lett. 91, no. 4pp. 042108, 2007. doi:10.1063/1.2759989
21. A. G. Chiariello, A. Maffucci, G. Miano, Signal integrity analysis of carbon nanotube on-chip interconnects, Proc. IEEE Wrksh. Signal Prop. Interconn., pp. 1-4, 2009. doi:10.1109/SPI.2009.5089842
22. Y. Xu, A. Srivastava, A model for carbon nanotube interconnects, Int'l J. Circ. Theory Appl. 38, no. 6pp. 559-575, 2010. doi:10.1002/cta.587
23. A. Srivastava, Y. Xu, A. K. Sharma, Carbon nanotubes for next generation VLSI interconnects,J. Nanophoton. 4, pp. 04169, 2010. doi:10.1117/1.3446896
24. Y. Xu, A. Srivastava, A. K. Sharma, Emerging carbon nanotube electronic circuits, modeling and performance, VLSI Design 2010, pp. 864165, 2010. doi:10.1155/2010/864165
25. A. Srivastava, J. M. Marulanda, Y. Xu, A. K. Sharma, Current transport modeling of carbon nanotube field effect transistors, Phys. Stat. Sol. (a) 206, no. 7 pp. 1569-1578, 2009. doi:10.1002/pssa.20082422
26. Y. Xu, A. Srivastava, Dynamic response of carbon nanotube field effect transistor circuits, Proc. NSTI Nanotechnol. Conf. Expo, pp. 625-628, 2009.
27. http://www.itrs.net/Links/2007ITRS/Home2007.htm International technology roadmap for semiconductors 2007.
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research