Seminal Paper Translated to English 100 Years Later

The translation was published in a special section of the SPIE Journal of Micro/Nanolithography, MEMS, and MOEMS on control of integrated circuit patterning variance, with a focus on pattern roughness, local uniformity, and stochastic defects.

01 January 2019

In 1918, Walter H. Schottky, a student of Max Planck and Heinrich Rubens, wrote a paper for Annalen der Physik called "Über spontane Stromschwankungen in verschiedenen Elektrizitätsleitern," describing the stochastic effect in electronic devices. In that paper, Schottky described a new type of electronic noise called shot noise, a concept that is a growing and prevalent challenge for today's lithography industry. The evolution of semiconductor manufacturing, often described in terms of Moore's Law, has become increasingly reliant on shorter wavelengths and higher photon energy, increasing the impact of the Schottky-observed stochastic effects.

Chris Mack, editor in chief of the SPIE Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), has long been concerned that stochastic effects may limit the progress of miniaturization using lithographic techniques. Mack wanted to acknowledge the significance of Schottky's seminal work but did not want to cite a paper written in a language that he does not understand, so he asked Martin Burkhardt, a German editorial board member for JM3 and research staff member at IBM, and SPIE Fellow Anthony Yen from ASML, to translate Schottky's seminal paper into English.

"Considering this paper is still being cited, mostly by people who likely don't know German, I suspect that there is a demand for an English version," says Burkhardt, who agreed to work on the translation in collaboration with Yen. The translation was published in a special section of JM3 on control of integrated circuit patterning variance, with a focus on pattern roughness, local uniformity, and stochastic defects. The rights to publish this open-access English-language translation were generously granted by John Wiley & Sons, publisher of Annalen der Physik.

Schottky's legacy

Schottky was perhaps best known for his theory of electron and ion emission and his work on vacuum tubes. Understanding of electron emissions was needed for the invention of the Schottky diode, using what is now known as the Schottky barrier. Understanding of electron and ion emissions in vacuum through what is now called the Schottky-Nordheim barrier was critical in explaining thermionic emission and in the development of modern scanning electron microscopes. However, the topic of shot noise, and subject of the translated paper, remains very important to the field of lithography.

Burkhardt explains that the importance of Schottky's seminal paper lies in the description of the statistics that can be applied to incoming photons absorbed by a photoresist. "Today, we see evidence of stochastic effects in lithographic patterning because a single layer of contact holes or vias can contain tens of billions of holes," says Burkhardt. "No redundancy in those holes can be assumed-every one of them is supposed to be used for making an electrical connection-and each such hole has to be exposed in photoresist with the right number of photons so that, after development, the hole in the photoresist is of the target size."

Although Mack, Burkhardt, and Yen did due diligence to discover if another English translation exists, there's no way to be sure. "This work may have some strategic/military significance, so it is possible that it has been translated internally somewhere, perhaps during [World War II], as was done with many other papers. On the other hand, up to the end of WWII most physicists likely had a glancing knowledge of German and may have read the paper in the original language," says Burkhardt.

This translation also marks the centenary of this much-cited article, which has played such a significant role in understanding shot noise in lithography. In the same special section of JM3, Mack also published a paper that reviews the history of shot noise, which can be traced to the work of Robert Brown, James Maxwell, Ludwig Boltzmann (of Maxwell-Boltzmann fame), and Albert Einstein, all before Schottky identified the "Schroteffekt," or shot effect, in 1918. Mack then looks at how ideas of shot noise have been applied in lithography for semiconductor manufacturing over the last 40 years.

Both papers can be read in the SPIE Digital Library: spie.org/shotnoise.

Enjoy this article?
Get similar news in your inbox
Get more stories from SPIE
Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research