Proceedings Volume 10905

Laser Applications in Microelectronic and Optoelectronic Manufacturing (LAMOM) XXIV

cover
Proceedings Volume 10905

Laser Applications in Microelectronic and Optoelectronic Manufacturing (LAMOM) XXIV

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 7 June 2019
Contents: 12 Sessions, 16 Papers, 29 Presentations
Conference: SPIE LASE 2019
Volume Number: 10905

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10905
  • Laser Processing Based on Pulse Width and Pulse Train Arrangement Techniques
  • Direct Writing
  • Laser Processing Based on Beam Shaping Techniques
  • Frontier in Laser Micromachining
  • Laser-Induced Forward Transfer
  • Fundamental Aspects of Laser-Materials Interaction I
  • Fundamental Aspects of Laser-Materials Interaction II
  • Materials Synthesis and Fabrication I
  • Materials Synthesis and Fabrication II
  • Nanoscale Processing
  • Posters-Tuesday
Front Matter: Volume 10905
icon_mobile_dropdown
Front Matter: Volume 10905
This PDF file contains the front matter associated with SPIE Proceedings Volume 10905, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.
Laser Processing Based on Pulse Width and Pulse Train Arrangement Techniques
icon_mobile_dropdown
Laser material processing in the ablation-cooled regime: Ablation efficiency and quality using single fs-, bursts of fs-, and single ns-pulses (Conference Presentation)
Matthias Domke, Sandra Stroj, Victor Matylitsky
In recent years, the burst-mode caused a lot of attention and confusion in the field of micro machining with ultrashort-pulsed lasers. There is an ongoing debate about the laser-matter interaction and the impact on ablation efficiency and quality of applying burst trains with two to hundreds of pulses at MHz to GHz frequencies. Our results reveal that the ablation behaviour achieved with bursts of 28 pulses at 154 MHz is rather similar to the ablation with a ns-laser with a pulse duration equal to the temporal burst train length of 175 ns than to a fs-laser operated in single-pulse mode.
Laser machining of silicon with bursts of ultra-short laser pulses: Factors influencing the process efficiency and surface quality (Conference Presentation)
For silicon machined with 10 ps pulses at 1064nm it was found that the specific removal rate increases by a factor of about 2.5 when an 8-pulse burst is used instead of single pulses [1]. This increase in the specific removal rate directly scales with a higher surface roughness. For copper and a 3-pulse burst the absorptance of a machined surface increases to about 200% of the one obtained with single pulses [2]. This can serve as an explanation for the higher specific removal rate observed in this case [3]. Actual calorimetric measurements on silicon show that the fraction of the incoming energy which is converted to heat is almost independent on the number of pulses per burst (a behavior which was observed for copper too) and the absorptance depends on the number of pulses. However, as the observed variations in the absorptance are only in the order of a few % and do not monotonically increase with the number of pulses per burst the change in the absorptance cannot explain the increase in the specific removal rate for pulse bursts on silicon. Additional experiments including calorimetry with varying intra-burst time differences and pulse energies as well as experiments concerning reflectivity and transmission will help to understand this behavior of silicon. [1] B. Jaeggi, D.J. Förster, B. Neuenschwander, OSA Technical Digest, CLEO (2018), AM1M.3 [2] B. Jaeggi, D. J. Foerster, R. Weber, B. Neuenschwander, Adv. Opt. Techn. 7, 175 (2018) [3] B. Neuenschwander, B. Jaeggi, D.J. Foerster, Th. Kramer, S. Remund, to be published in Proc. of ICALEO (2018)
Influence of pulse duration in the pico- and femtosecond regime on the absorptance and specific removal rate (Conference Presentation)
In ultra-short pulsed laser micromachining of metals shorter pulses generally lead to higher throughput e.g. for copper the specific removal rate drops to about 25 % if the pulse duration is raised from 10 ps to 50 ps and it is increases by about 35 % for a decreased pulse duration of 350 fs. In contrast, a significantly higher increase of 100 % was observed for stainless steel AISI 304 when the pulse duration is decreased from 10 ps to 350 fs, whereas the drop for the longer pulse duration of 50 ps was of the same order of magnitude as for copper. Recent results of a two-pulse burst experiment on copper with temporal varying intra-burst pulse distance have shown, that pulse distances from 10 ps to 100 fs cause only a slight increase in efficiency of approximately 10 % whereas for pulse distances from 10 ps to 500 ps the efficiency is reduced to nearly 50 %. Although, these factors differ from the well-studied pulse duration experiments, they show a similar tendency. Therefore, we assume a dominant common cause. In literature the diminished efficiency is often referred to particle- or plasma shielding for tens of picoseconds pulse durations. In this sense, the ablation of the material during the pulse is faded by itself for longer pulses as well as the ablation of the material from the first pulse is restricted by the second pulse in the burst experiments. Additional investigations concerning reflection and calorimetric considerations will help to underline this hypothesis.
Direct Writing
icon_mobile_dropdown
Femtosecond laser writing of multi-level binary DOE in fused silica by slicing simulated phase distribution (Conference Presentation)
The modification of transparent materials with femtosecond lasers has a lot of interest in data processing, waveguides and diffractive optical elements (DOE) development fields. In our research, we are focused on transparent materials processing with a deeply focused Gaussian beam. As well known, the internal modifications induced in fused silica with high numerical aperture objectives are affected by spherical aberration. In most cases, this phenomenon is unwanted and needs to be compensated to get the width to height ratio close to 1:1 for high-quality waveguides writing etc. However, when the focusing is quite deep (> 1 mm), due to the energy dissipation the modification can be formed only in the central part of the laser beam. Consequently, the radial size of modifications is reduced less than the diffraction limit. This property can be successfully used to record high-density volume DOE with the diffraction efficiency > 90%. In this work, we develop the method to record DOE with multi-level binary refractive index modification distribution in bulk fused silica. In the beginning, the transverse modification length induced by single pulse elongation to the wider area is investigated to find the conditions where only type-I modification is induced. The two-level binary phase by a single modification depth > 50 µm can be achieved. In the next step, the desired multi-level binary phase distribution is simulated according to the required intensity distribution. Then the slicing of the multi-level binary phase to the two-level binary phase images is performed. This method involves recording the phase elements slice by slice with the resolution limited to the minimal induced phase change in one separate layer.
Acousto-optofluidics for high-throughput laser processing (Conference Presentation)
The inherent flexibility of laser direct writing (LDW) systems is compromised by its sequential nature and consequent sacrifice in throughput. Efforts to address this use include simultaneous multi-spot processing or the generation of multi-beam interference patterns. However, these approaches are normally limited to patterning arrayed features or uniformly distributed aperiodic patterns. Even if changing the number of beams or the angles between them enables to control the distribution and periodicity of the patterns, this task typically involves the mechanical displacement of a focusing lens or the displacement of several optical elements. Other beam shaping methods, such as a spatial light modulator, offer unparalleled flexibility, but their limited refresh rate precludes the fast control of patterns. Here, we explore the unique interactions between sound, liquids, and light to split a laser beam at microsecond timescales. By using the acoustic standing waves generated in a resonant cavity filled with a liquid, we are able to split a beam into multiple spots along two orthogonal directions, namely the X and Y axis. Notably, no Doppler shifting occurs between the diffracted beams. Thus, blocking the zeroth diffraction order can be used to generate multi-beam interference patterns, with a geometry that can be user-selected by adjusting the frequency of the acoustic wave. We provide a theoretical foundation of the working principle of our acousto-optic approach, which is in good agreement with experiments, and demonstrate the myriad of possibilities it offers by laser fabrication of patterns in both subtractive as well as additive modalities.
Laser Processing Based on Beam Shaping Techniques
icon_mobile_dropdown
Simulation of laser micro-machining with tunable acoustic gradient of refractive index lens (TAG lens) as an ultrafast z-scanner (Conference Presentation)
Xiaohan Du, SeungYeon Kang, Craig Arnold
One of the key performance factors of the laser machining of materials is the efficiency. The extension of the depth of field increases the machining rate, especially on non-flat surfaces. Previous work from our group successfully implemented a novel, vari-focal liquid lens (TAG lens) for the ultrafast z-scanning in laser micro-machining. It was showed experimentally and theoretically that the micro-machining efficiency of silicon and polyimide can be improved over a range of defocus distance. In this presentation, we present a numerical simulation of laser thermal ablation with ultrafast z-scanning using COMSOL Multiphysics. The model includes absorption of laser radiation, heat transfer in solid, deformed geometry for materials removal, and random sampling of focal positions. This simulation not only shows the transient response of the laser-material interaction, but accounts for some of the complexities simplified by the theoretical model. Therefore, it exhibits better estimation of the ablation rate in a real system. In addition, to demonstrate the improved machining efficiency on non-flat surfaces, we design an experiment of laser machining on roughened silicon to compare the z-scanning machining system with the conventional machining system. The numerical model is shown to be consistent with the experiment result.
Exploring the efficiency of laser materials processing with tunable acoustic gradient (TAG) lens (Conference Presentation)
SeungYeon Kang, Xiaohan Du, Craig Arnold
A continuously growing interest to achieve highly efficient mass-production systems has catalyzed various developments in high-efficiency laser processing techniques. These techniques entail fast and cost-effective control of the laser beam position for high-throughput laser material processing with optimal efficiency. Tunable acoustic gradient (TAG) lens is a device that uses acoustic waves to radially excite a fluid-filled cylindrical cavity that allows ultra-fast variation in focal-length. By rapidly scanning the laser focal point along the optical axis, TAG lens enables rapid selection of the focal length on time scales shorter than 1μs and can provide an increased efficiency in the machining rate. In this presentation, we demonstrate how a TAG lens can be used to achieve high-throughput material processing. Previously we have shown that the TAG lens enables higher micromachining rates in various material systems. In a specific example of silicon, we achieve a nearly threefold increase in the machining rate while maintaining sharp side walls and a small spot size. Through a detailed analysis of taking the probability distribution of the optical scanning range into account we study how using TAG lens with various micromachining rates affect the material processing efficiency.
Flexible femtosecond processing with programmable light modulators (Conference Presentation)
Eric Audouard, Eric Mottay, Martin Delaigue, et al.
Translating the high available power for current industrial lasers in the 100 to 500 W range into high throughput micro-processing is of high importance for future industrial applications. High repetition rates and/or high energies can lead to detrimental thermal effects on the sample and degrade the process quality even using ultrafast lasers. New processing strategies are therefore required to take full advantage of the laser available power. Programmable spatial light modulators (SLM) can bring flexibility while maintaining a high spatial resolution compatible with complex optical functions like multi spots or user defined beam profiles. They enable specific laser characteristics, that can change during the process. The recent technological progress in LCOS based systems, enables high optical transmission greater than 95 %, but also high average power handling up to at least 100 W of laser power. However, the dependence of SLM shaping performance on laser bandwidth places specific requirement when using ultrafast lasers. We will present phase map optimization strategies dedicated to specific industrial applications.
Frontier in Laser Micromachining
icon_mobile_dropdown
Laser grooving of multi stack material modeling: implementation of a high accuracy tool for laser-grooving and dicing application
Laser grooving is a powerful method widely used in the semiconductor industry for chip singulation because of the advantages it provides, such as high grooves profile quality, lower mechanical stresses on devices. Nevertheless, challenges related to unexpected drawbacks on process such as efficiency, quality and reliability still remain. In order to maximize control of this critical process and reduce its undesirable effects, numerical models of nano-second laser pulsed and multistack material interaction have been developed. The modeling strategy using finite elements formalism is based on the convergence of two approaches, numerical and experimental characterizations. To evaluate this interaction, several laser grooved of multilayer samples Cu/SiO2, Al/SiO2, and complete state of the art back-end-of-line (BEOL) material stack were correlated with finite elements modeling. Three different aspects were studied; phase change, thermo-mechanical sensitive parameters as well as optical sensitive parameters. The mathematical model makes it possible to highlight a groove profile (depth, width, etc.) of a single pulse or multi-pulses on BEOL wafer material. Moreover, the heat-affected zone (HAZ) has been predicted as a function of laser operating parameters (power, frequency, spot size, defocus, speed, etc.). After modeling validation and calibration, a satisfying correlation between experiment and modeling results has been observed in terms of groove depth, width and HAZ.
Modifications of surface topography by acoustic resonance induced by laser ablation with ultrashort laser pulses
Scanning ultra-short pulse laser ablation is a very flexible technology that can be used for the subtractive manufacturing of complex three-dimensional structures with precision requirements on micrometer level. In our studies, inadvertent periodic deviations at the bottom of ablated cavities in silicon were observed after laser ablation with ultra-short laser pulses. We introduce the hypothesis of an interdependency between the ablation process and ultrasonic resonant acoustic waves, also known as standing waves, forming in the air within the ablated volume. Using basic acoustic wave equations, the corresponding periodicity of the deviations at the bottom surface of the cavities is described with good agreement to our experimental data.
Selective etching of ultrafast laser modified sapphire
M. Kaiser, M. Kumkar, R. Leute, et al.
Due to its hardness and scratch resistance sapphire is a favorite material for various high-quality applications e.g. in consumer electronics. Because of those excellent properties sapphire is a demanding material regarding processing. Using ultrashort pulses in combination with beam shaping offers the possibility to deposit energy precisely into the material and modify in a controlled manner reducing thermally induced stress and avoiding microcracks. Separation along modified paths especially for inner contours is still an open task. Selective etching of laser modified sapphire is a promising technology to release outer contours as well as inner contours and even smallest through holes. By using Bessel-like beam profiles an amorphized elongated modification in the monocrystalline bulk along the whole material thickness can be achieved by a single pulse. The amorphous phase in contrast to the monocrystalline sapphire is etchable in 30 wt.-% KOH solution. For a successful process development, a fundamental comparison of different types of modification and its etching behavior depending on pulse duration, pulse energy, number of pulses, spatial and temporal distances of modifications at a wavelength of 1030 nm is carried out. The etching rate depends on the processing and etch solution parameters and is optimized to 10 μm/min. Besides the contours a nanosieve consisting of two-dimensional arranged crack free nanoholes (200 nm in diameter, 5 μm in distance) is realized with an aspect ratio of 1:1500.
Glass processing techniques: Mechanical versus laser-based (Conference Presentation)
Juozas Dudutis, Rokas Stonys, Eimantas Daknys, et al.
Nowadays, conventional glass processing techniques, such as “score and brake” method, are being replaced by laser-based techniques. Precision, speed and quality makes laser glass processing a very attractive technique for industry. However, new laser-based techniques have to be validated in respect to conventional processing. For this we introduce comparative investigation of free glass processing techniques – rear side laser cutting, laser-based and mechanical dicing. Local weakening of the material and mechanical separation is a highly efficient two-step glass cutting approach. Material modification can be introduced by laser or mechanically. However, when complex shape cutting is required rear side laser cutting can offer much more flexibility. Glass is a brittle material, therefore generation of micro-cracks during processing is inevitable. Such side-effects can influence processed surface quality and material flexural strength. Rear side glass cutting experiments were carried out by tightly focusing the laser beam on the sample back-surface. Nanosecond laser pulses with wavelength of 532nm were used. In the case of laser glass dicing process, Bessel beam was introduced to form elongated modifications in glass. High pulse energy sub-nanosecond laser at 1064 nm wavelength was introduced. For mechanical processing, the conventional “score and break” method was used without any additional post processing. In all cases surface chipping was introduced. There was no significant difference in terms of micro-crack size for rear side cutting and mechanical dicing techniques. However, sample resistance to mechanical load was higher for mechanical processing. In this work, in-depth investigation of these effects will be introduced.
Fused silica ablation by double femtosecond laser pulses with variable delays
Glass processing is a subject of high interest for electronics, watch and consumer electronics industries. The femtosecond laser has unique capacity to produce a high-quality surface or bulk modification in dielectrics transparent materials thanks to nonlinear absorption. Temporal pulse shaping seems to be a smart and flexible solution to further increase the efficiency of this tool. Indeed, since the lifetime of free electrons in the conduction band is about few picoseconds, it is possible to improve ablation efficiency of dieletrics using a double pulse laser irradiation. The principle is to use the first pulse to promote electrons into the conduction band meanwhile the second one induces the ablation of the target material. This study deals with double femtosecond laser pulse radiation of fused silica in order to tune both ablation threshold and removal rate. The time delay between the two pulses is set from 0 to 5 ps owing to a delay line. The results are discussed in terms of optical transmission and ablation efficiency. Our ultrafast laser operates at 1030 nm and has a pulse duration of 480 fs.
Ultrafast lasers for advanced manufacturing of flat panel displays
Terence Hollister, Jim Bovatsek
High volume manufacturing of flat panel displays presents many challenges to realize precision features over large panel sizes with high yield and high throughput. Laser technology continues to be a key enabler in many respects. In particular, for cutting display glass plates, ultrafast lasers with pulse durations in the picosecond and femtosecond regime offer unique advantages. Processing display cover glass, which is highly transparent to both visible and UV wavelengths, can benefit from ultrashort laser pulses which deposit a high amount of energy in very short time scales. This allows for fine structuring of the glass with minimal chipping, cracking, and melting. In this work, we present display glass cutting results using state-of-the art, novel picosecond pulse laser technology. High quality and high throughput results are demonstrated, with precise glass cutting achieved with low sidewall roughness at speeds in the 1 m/s regime.
Micro-processing in the ultraviolet: UV laser applications in manufacturing consumer electronics (Conference Presentation)
The world market for laser micro-processing has seen a tremendous increase within the last 24 months triggered predominantly by large scale projects in the electronics industry. By far the largest contribution to this growth came from UV nano- and picosecond lasers for various applications for manufacturing consumer electronics devices. The process chain of flexible OLED display used in smart devices (phones, watches, tablets) is heavily relying on ultraviolet lasers: Debonding of the large display foils (typically 1500 x 1850 mm²) from the carrier glass they are produced on is a critical process since it is one of the last steps in the process chain at the peak of the added value. UV nanosecond lasers enable reliable debonding (laser lift-off) without risking any damage to the displays. Subsequently, the display cells are singulated by laser cutting. Also this process step relies heavily on UV lasers, but in this case with ultrashort pulses. The combination of ultrashort pulse duration and short wavelength allows efficient and precise cutting of these multi-layer materials without unwanted heat affected zones or even thermal damage to the electronics in the display. Various additional parts that are integrated in smart devices like polarizer foils and flexible printed circuit boards are based on multi-material foils and are cut to their net shape with UV nanosecond or ultrashort pulsed lasers. In this contribution we present an overview of the different UV laser processes and compare the process results from ns, ps and fs lasers to give an outlook on future applications.
Laser-Induced Forward Transfer
icon_mobile_dropdown
Reusable laser-absorbing layers for LIFT
The use of laser induced forward transfer (LIFT) techniques for printing materials for sensor and electronics applications is growing as additive manufacturing expands into the fabrication of functional structures. LIFT is capable of achieving high speed/throughput, high-resolution patterns of a wide range of materials over many types of substrates for applications in flexible-hybrid electronics. In many LIFT applications, the use of a sacrificial or laser-absorbing donor layer is required despite the fact that it can only be used once. This is because the various types of release layers commonly in use with LIFT are completely vaporized when illuminated with a laser pulse. A better solution would be to employ a reusable laserabsorbing layer to which the transferable ink or material is attached and then released by a laser pulse without damage to the absorbing layer, therefore allowing its repeated use in subsequent transfers. In this work, we describe the use of two types of reusable laser-absorbing layers for LIFT. One is based on an elastomeric donor layer made from poly(dimethylsiloxane) or PDMS, while the other is based on a ceramic thin film comprised of indium tin oxide (ITO). These release layers have been used at NRL to transfer a wide range of materials including fluids, nanoinks, nanowires and metal foils of varying size and thickness. We will present examples of both PDMS and ITO as donor layers for LIFT and their reusability for laser printing of distinct materials ranging from fluids to solids.
Increasing the resolution of the Laser-Induced Forward Transfer using flow-focusing (Conference Presentation)
Emre Turkoz, SeungYeon Kang, Xiaohan Du, et al.
Drop-on-demand jet-based printing and deposition techniques benefit from increased printing resolution compared to inkjet printing. In this study, we present two sets of methods to improve the printing resolution and decrease the laser transfer threshold energy for blister-actuated laser-induced forward transfer (BA-LIFT). In one technique, we examine the steady meniscus formation by fabricating micrometer-sized holes onto the solid polyimide thin film substrate which hosts the donor liquid ink film to be printed. Due to the micrometer size of holes, surface tension effects are enhanced, a steady meniscus is formed at the air-ink interface, and the resulting focused jets are thinner and faster than regular jets. In the other set of techniques, we examine the transient meniscus formation by using Faraday waves to induce a transient meniscus at the air-ink interface. We show that focused jets may have different features compared to regular jets depending on the focusing method. We demonstrate experimentally and computationally that steady and transient meniscus formation enable jetting at lower laser pulse energies and leads to the ejection of smaller droplets.
Laser-Induced Forward Transfer of silver-based pastes for metallization of photovoltaic devices
David Canteli, David Munoz-Martin, Miguel Morales, et al.
Laser-induced forward transfer (LIFT) is a fast, clean, non-contact metallization technique that allows the deposition of small volumes (down to picoliters) of a wide range of materials in a very precise and controlled way. In this work, we show a complete description of the metallization by LIFT using pulsed laser sources and a commercial silver-based paste. We include a description of the transference process and discuss the influence of the paste viscosity and the acceptor substrate roughness. To avoid the use of a standard paste-curing process in a furnace, a second laser process is used to cure the silver paste in a selective way, preventing any thermal damage in the materials below the paste or in other parts of the device. In summary, we show that the use of a LIFT technique allows the metallization of wide areas with high aspect ratio lines, that can be cured selectively, and present very good mechanical properties, being suitable for its use in flexible electronics applications.
Fundamental Aspects of Laser-Materials Interaction I
icon_mobile_dropdown
Study of plasma formation in solid dielectrics with the help of low-order harmonic emission
Alexandre Mermillod-Blondin, Peter Jürgens, Benjamin Liewehr, et al.
The applications of ultrashort laser pulses (< 1 ps duration) for micromachining have continuously expanded during the past decade. In particular, femtosecond (fs) laser sources represent unique tools for microprocessing solid dielectrics such as glasses, crystals, or bio-compatible materials. The chain of physical events leading to laser-induced material modification starts with the formation of an electron-hole plasma which essentially proceeds through two distinct channels: strong-field ionization (SFI) and electron-electron impact ionization (EEII). In solids, these mechanisms take place intrapulse, i.e. when the electric field from the laser pulse is still present in the interaction region. Because of the short timescales involved and because of their concomitant character, determining the relative importance of the ionization channels at play is very challenging. In this article, we describe a strategy to determine the relative importance of SFI versus collisional ionization in the plasma formation when irradiating solid dielectrics with ultrashort pulses.
Ultrafast dynamics of the photo-induced phase transition in vanadium dioxide (Conference Presentation)
Interest in the phase-changing material vanadium dioxide as a potential reconfigurable or modulating element in optical and electronic applications has been a major driver for research since the material was first characterized half a century ago. The thermally induced phase transition occurs near 70˚C, and comprises an insulator-to-metal transition with a four-orders-of-magnitude increase in free-carrier density, and a nearly, but not quite, simultaneous structural phase transition from the monoclinic ground state to the rutile (tetragonal) excited state. Although early switching experiments focused on the thermally driven phase transition, a laser-driven phase transition in vanadium dioxide was observed already in 1965. However, a detailed understanding of the mechanisms underlying the photo-induced phase transition (PIPT) remained elusive, especially for the ultrafast PIPT, first demonstrated two decades ago. This review discusses the current understanding of the PIPT as revealed both in recent experiments and theory for both VO2 thin films and single crystals during the past decade. Specific issues to be considered include the partitioning of laser-imparted energy into electronic and phononic degrees of freedom during the PIPT; band-gap collapse and the dynamical evolution of the rutile structural phase; effects of coupling between thin film and substrate; variations in PIPT dynamics with laser wavelength and material optical properties; and ultimate limitations on the switching speed and energy cost for the transition. This detailed mechanistic understanding has specific implications for applications of the PIPT in silicon photonics, which will be discussed in conclusion.
Advanced oxide thin films produced by excimer laser-assisted metal organic compound (ELAMOD) (Conference Presentation)
To construct low carbon society more and more in the world, it is necessary to develop a high performances new green device such as a solar cell, a lithium battery, a power semiconductor, and light emitting diode (LED) lighting, superconducting device and so on. Metal oxides are expected to be key materials which are used for a new device by controlling metal composition, a crystal structure, orientation or multilayer of the film, a carrier, a spin, etc. In order to fabricate the new devices, their parameters controllable process would be effective. Another important problem is the development of the low cost and power saving process for constructing sustainable society in the world. For these purpose, chemical solution process (CSP) would be suitable because it does not require vacuum and high facility investments and any complicated etching process. In addition, precise metal composition control is possible when the materials are made from the more than 3 or 4 metal components. For the thin film processing, we have developed the photo-induced chemical solution process such as excimer laser-assisted metal organic deposition (ELAMOD) and photo reaction of nano-particle method (PRNP) for the preparation of the patterned metal oxide thin film on organic, glass and single crystalline substrates. By using the PRNP process, ITO, resistor and phosphor thin films were prepared on glass, PET and PIM substrates at room temperature. The luminescent thin film show higher luminescence compared with commercially available one. On the other hand, to prepare the epitaxial film, the use of the metal organic compound and single crystal substrate with large optical absorbance was found to be effective. By using the ELAMOD, epitaxial ITO, SnO2, LSMO, PZT films were successfully obtained at low temperature . Also, we have successfully prepared VO2 films with non-hysterisis. The TCR of the VO2 films obtained by ELAMOD exhibit much higher than present uncooled IR sensor. In this presentation, we demonstrate a preparations of ITO, resistor and phosphor thin films on flexible substrates.
Third-order nonlinear optical characterization of fs-laser micromachined waveguides in Gorilla Glass (Conference Presentation)
Cleber R. Mendonça, Franciele Henrique, Gustavo Almeida, et al.
Glasses are important materials for novel technologies, as their properties can be tailored by doping and compositional changes. Furthermore, glasses can also be microstructured, making them interesting for optical and photonic applications. Corning Gorilla Glass is an alkali aluminosilicate glass commonly used as protective layer in smart phones and tablets thanks to its outstanding mechanical properties. Recently, it has been demonstrated the use of femtosecond direct laser writing of waveguides in Gorilla Glass, prompting it for integrated photonic/electronic devices. Therefore, it is important to study the nonlinear optical properties of Gorilla Glass as well as their laser-inscribed waveguides, since the effects of the laser writing process on the nonlinearity are not totally understood. Here we investigate the third-order nonlinear optical properties of waveguides fs-pulses written waveguides in Gorilla Glass, by using the Dispersive-scan (D-scan) method. The nonlinear refractive index measured in the waveguide is lower than the one for the pristine material and its value depends on the writing pulse energy. For waveguides fabricated with pulse energy of 250 nJ, for instance, n2 is about three times lower than the one for the pristine sample. Micro Raman measurements were performed in the microstructured material in order to better understand the mechanisms of laser modification. Raman spectroscopy revealed the reduction and broadening of the high-frequency band related to non-bridging oxygens, which can explain the decrease of n2. Therefore, our results not only show the potential of using D-scan for waveguides nonlinear characterization, but also demonstrate and interpret the decrease of the nonlinear index of refraction in fs-laser micromachined waveguides in Gorilla Glass, which potential implications for photonic devices.
Fundamental Aspects of Laser-Materials Interaction II
icon_mobile_dropdown
Nonlinear optical dynamics in femtosecond laser processing of silicon waveguides (Conference Presentation)
In recent years, femtosecond-laser writing has recently emerged as one of the most versatile techniques for direct waveguide microfabrication of transparent optical materials. Femtosecond-laser-based fabrication of three-dimensional silicon waveguide enables compact silicon photonics and their integration as large third-order nonlinearity and the high refractive index of silicon allows for tightly confining optical waves to a sub-micron region. The writing process is however challenging because the unique features exhibited by the semiconductor crystal, such as two-photon absorption, free-carrier absorption / dispersion, anisotropic and dispersive third-order nonlinearity, which may drastically influence the writing process at high intensities required for the femtosecond-laser writing. In this work, we provide a detailed description of the underlying physics behind nonlinear optical dynamics in femtosecond laser processing of silicon waveguides, considering the generation of free carriers induced by various absorption mechanisms, plasma formation, refractive index change and their impact on the waveguide microfabrication and performance.
Dynamics of different ablation regimes during femtosecond laser processing of silicon (Conference Presentation)
Femtosecond laser ablation has a wide variety of applications, from re-shaping the cornea of the eye to micro-machining electronic devices. It is imperative to understand the dynamics of ablation from energy absorption to surface vaporization. In this work, time- and space-resolved microscopy is used to analyze the ablation dynamics induced by femtosecond laser pulses in single-crystalline silicon. These dynamics are revealed by capturing the surface images generated with probe pulse reflection at a variable delay time relative to the pump pulse. When the peak fluence of the incident laser pulse is near the ablation threshold, the transient surface reflectivity initially changes from low to high due to electron-hole plasma formation and then exhibits dynamic Newton-ring patterns with increasing numbers of fringes. When the peak fluence exceeds two times the ablation threshold, surface reflectivity first increases, then significantly decreases (to a level lower than the initial value), and increases again with a growing Newton-ring pattern resulting from thermally induced material expansion. Finally, a crater is formed with two side bumps within which the absorption depth is reduced with spatial fluence level over two times the ablation threshold. The time-resolved silicon images and analyses describing the evolution of transient reflectivity and morphological will be presented.
Materials Synthesis and Fabrication I
icon_mobile_dropdown
Laser micro-processing of ceramic glasses by ultra-short laser pulses
The microstructure of a lithium aluminosilicate (LAS) glass ceramic has been modified by ultra-short pulsed laser radiation during the crystallization process. Laser pulses with 10 ps pulse duration, 1064 nm wavelength and a repetition rate of 50 kHz have been focused inside LAS glass ceramic using a microscope objective with a NA of 0.4. Before laser treatment the LAS glass ceramic was already transformed to a primary crystallization stage by using a heat treatment at 540°C and 660°C. Caused by nonlinear absorption processes energy is transferred from the photons to the lattice and leads to local melting. The fast cooling of the melted volume due to heat conduction enables the formation of an amorphous phase. After a second heat treatment at 830°C the laser irradiated area shows a different microstructure compared to the untreated area. Influences of the modified microstructure on mechanical and optical properties have been studied. Potential applications of this process are proposed.
Laser induced crystal defects in monocrystalline silicon
T. Menold, F. Lanoy, M. Ametowobla, et al.
We investigate the conditions for the formation of crystal defects leading to residual stress after spot laser melting of monocrystalline silicon with microsecond laser pulses. With the help of micro-Raman spectroscopy and Secco defect etching, we find a sharp transition from dislocation free to dislocation rich recrystallization corresponding to a threshold laser pulse energy Ep, for a given laser pulse length τp and focus diameter df. Besides the dependence of the threshold Ep on τp and df, our experiments show a strong dependence on the crystal orientation. The f100g-oriented substrates resist laser pulses with a two times higher laser pulse energy than the {111}- or {110}-oriented substrates. Using electron backscatter diffraction (EBSD), we find evidence for the formation of grain boundaries parallel to the appearance of pores within the melt pool. The pores most likely form when the oxygen solubility in the melt and the resolidified material decreases during cool down and the excess oxygen leaves, forming vapor pores. Avoiding oxygen uptake from the environment by processing under vacuum conditions at p = 1 mbar ambient pressure, prevents both, pores and grain boundaries.
Low-temperature, high-concentration laser doping of 4H-SiC for low contact resistance
T. Kikuchi, K. Imokawa, A. Ikeda, et al.
We propose low-temperature and high-concentration doping of 4H-silicon carbide (4H-SiC)(0001) by KrF excimer laser irradiation of source films on a 4H-SiC substrate, in which a dopant atom is included. In n-type doping, a SiNx film with a thickness of 100 nm was deposited on an n-type 4H-SiC(0001) substrate by chemical vapor deposition. A gas supply nozzle for ambient environment control was installed to prevent oxidation of the SiC surface. High-concentration nitrogen doping (~1 × 1021/cm3 at the surface) was achieved by laser ablation of the SiNx film. Al/Ti electrodes were formed on the doped area at a room temperature, and a contact resistance of 2.2 × 10-5 Ω・cm2 was obtained, which is sufficiently small for the backside contact resistance of Schottky barrier diodes. In p-type doping, an Al film with a thickness of 240 nm was deposited on a 4H-SiC substrate by sputtering deposition. After laser irradiation of the Al film in ambient Ar, high-concentration Al doping (~1 × 1021/cm3 at the surface) was achieved. Al/Ti electrodes were formed on the doped area at a low temperature of 600 °C, and a contact resistance 1.9 × 10-4 Ω・cm2 was obtained. We conclude that low-temperature and high-concentration doping of 4H-SiC for low contact resistance can be achieved by laser ablation of the source films on the 4H-SiC substrate.
Materials Synthesis and Fabrication II
icon_mobile_dropdown
Fabrication of multicomponent semiconductor microspheres by laser ablation in air (Conference Presentation)
Daisuke Nakamura, Yuichiro Wakiyama, Hiroki Oshima, et al.
We have demonstrated the fabrication of semiconductor microspherical crystals such as ZnO and Si microspheres by a simple laser ablation technique. In addition, doped and alloyed microspheres have achieved by this technique. The fabrication mechanism of the spherical crystals is based on instantaneous heating of target material, formation of spherical shape by surface tension of liquid-state material, and rapid freezing with keeping the spherical shape. In this study, the technique is expanded to other various materials, and microspheres consisting of multicomponent semiconductor such as Sr3Sn2O7 was successfully fabricated. This technique is a candidate for fabrication of functional microspherical crystals.
Direct writing of Cu-based flexible thermal detectors using femtosecond laser-induced reduction
Cu-based thermal detectors were directly fabricated on poly(ethylene) naphthalate (PEN) films using femtosecond laserinduced reduction metal oxide nanoparticles. Both CuO and CuO/NiO mixed nanoparticle solution films on PEN films were reduced and sintered to form Cu-based micropatterns. Femtosecond laser system operating with a pulse duration of 120 fs, wavelength of 780 nm, and repetition frequency of 80 MHz, was used for direct writing in air. P-type Cu2O/NiO composite micropatterns were obtained only on the glass substrates, even though n-type Cu-Ni micropatterns were formed on the both glass and flexible PEN substrates. These results indicate that metal oxide nanoparticles were well-reduced on the polymer substrates because of their low thermal conductivities. In addition, the re-oxidization of the reduced metals were prevented on the polymer substrates due to their contribution as reductants. Both thermistor-type Cu-rich thermoelectric-type and Cu-Ni-rich thermal detectors were fabricated by reducing CuO nanoparticles and CuO/NiO mixed nanoparticles, respectively. The sensitivity of the detector was 5.3 μV/K. The devices can be used as various sensors in internet of things.
Nanoscale Processing
icon_mobile_dropdown
Focused laser spike (FLaSk) thermocapillary patterning of micro/nanostructures
Tianxing Ma, Jennifer Guzman-Pichardo, Lisa C. Klein, et al.
The phenomenon of thermocapillarity, the response of fluids to thermal gradients due to thermal alteration of their surface tension, was first reported over a century ago. Only in the past two decades has the deliberate use of thermocapillary forces for the patterning of soft matter been actively pursued, either for the ordering of internal structure or the introduction of topographic features. The application of focused laser spike (FLaSk) excitation can generate gradients up to ~1000 K/μm at a microscale spot. These extreme thermal gradients produce thermocapillary response with a high degree of spatiotemporal control and allow, through local softening, for the use of samples that are solid both before and after the excitation, locking in the effects of the exposure. This is the key advantage of the approach - thermal gradients simultaneously provide both the mobility and driving force for assembly. The soft-shear of moderate thermal gradients leads to the simultaneous annealing and alignment of mesoscale structure, while higher gradients lead to complete dewetting of films into trench-ridge patterns with overlap effects. The forces involved are great enough to overcome surface affinity and lead to morphological development defined by the fluid nature of the molten regions of polymers and even metals. During this processing, additional effects such as multilayer mobility, overlap, and simultaneous physical vapor deposition result in the generation of hierarchical micro/nanostructures. As a means of lithography, FLaSk possesses the advantages of being non-contact, subwavelength, developer and photochemical-free, and applicable to a wide array of materials.
Quantum optical lithography at 3 nm resolution (Conference Presentation)
Eugen Pavel
Quantum Optical Lithography at 3 nm resolution Eugen Pavel(1)* and Gabriel Prodan(2) (1) Storex Technologies, 274 Calea Mosilor, Bucharest 020892, Romania, (2) “Ovidius” University of Constanta, Faculty of Mechanical, Industrial and Marine Engineering, 124 Mamaia Bd., 900527 Constanta, Romania *Email: eugenp@rdslink.ro Abstract: Development of big data centers, Artificial Intelligence (AI) and Internet of Things (IoT) require novel approaches in semiconductor technologies. Lithography, as key element in this process, needs to be extended into the sub-10 nm range. Manipulation of matter at the nanoscale in the range 1-10 nm, in order to produce complex patterns, was possible by three lithographic techniques: i) electron beam lithography(EBL) /electron beam induced deposition (EBID) / helium ion beam lithography (HIBL), ii) scanning probe lithography (SPL) and iii) Quantum Optical Lithography (QOL) [1-2]. Here, we report the realization for the first time, by optical means, of complex structures with 3 nm linewidth using QOL. Compared to the above-mentioned lithographic techniques, QOL has the advantage of 3D writing. In this presentation, a diffraction-unlimited method (QOL) for nanofabrication is unveiled with applications in prototyping complex nanostructures. References [1] E. Pavel, S. Jinga, B.S. Vasile, A. Dinescu, V. Marinescu, R. Trusca and N. Tosa, “Quantum Optical Lithography from 1 nm resolution to pattern transfer on silicon wafer“, Optics and Laser Technology, 60 , 80–84 (2014). [2] E. Pavel, S. Jinga, E. Andronescu, B.S. Vasile, G. Kada, A. Sasahara, N. Tosa, A. Matei, M. Dinescu, A. Dinescu, and O.R. Vasile, “2 nm Quantum Optical Lithography”, Optics Communications 291, 259–263 (2013).
Direct laser writing of liquid metal grids for visually imperceptible stretchable electronics (Conference Presentation)
Optically clear and elastic conductors are critical for the next generation of fully imperceptible stretchable electronics that are not only optically transparent, but also invisible under typical lighting conditions and reading distances. Such conductors have a central role in a wide range of emerging applications such as wearable computing, soft bioelectronics, and biologically-inspired robotics. Here, we introduce a materials architecture and laser-based microfabrication technique to produce electrically conductive circuitry (sheet resistance = 2.95 Ω/sq; conductivity = 5.65×105 S/m) that are soft, elastic (strain limit > 100%), and optically transparent. The circuitry is composed of a grid-like array of visually imperceptible liquid metal (LM) lines on a clear elastomer. The laser fabrication approach allows for fully imperceptible electronics that have not only high optical transmittance (>85% at 550 nm) but also are invisible under typical lighting conditions and reading distances. This unique combination of properties is enabled by using a direct laser writing technique that results in LM grid patterns with a line width and pitch as small as 4.5 μm and 100 μm, respectively – yielding grid-like wiring on a transparent polydimethylsiloxane (PDMS) elastomer substrate that has adequate conductivity for digital functionality but is also well below the threshold for visual perception. The fabricated LM wiring can be readily interfaced with conventional circuit components (e.g., lead wiring, or LED chips) to enable optically clear digital electronics. The electrical, mechanical, electromechanical, and optomechanical characterization of fabricated LM circuits shows that the high conductivity and transparency are preserved at tensile strains of ~100%.
Laser ablation in liquids: Fundamentals and applications (Conference Presentation)
Bilal Gökce, René Streubel, Tim Hupfeld, et al.
Pulsed laser ablation in liquids is an innovative method, which enables the production of an almost unlimited array of highly pure colloidal nanoparticles that can be utilized in a wide range of applications [1]. However, until recently the drawback of this method was its limited productivity that was an order of magnitude lower compared to other colloidal synthesis methods. To study the problem of low productivity, different entities and dynamics occurring during the laser synthesis need to be considered. In this contribution, we show how high-throughput generation of nanoparticles is achieved by ultra-short pulsed lasers. We utilize a high-repetition-rate laser system consisting of a 500W ps-laser source and a laser scanner that reaches a scanning speed of up to 500m/s. This unique system enables spatial bypassing the cavitation bubble and thereby applying most of the laser energy to the target. The cavitation bubbles are laterally separated by varying the scanning speed to obtain the best scanning parameters [2]. Further strategies for upscaling as well as fundamental considerations of the cavitation bubble dynamics are discussed [3]. The talk will conclude with applications of laser-generated nanoparticles in laser additive manufacturing [4]. [1] D. Zhang, B. Gökce and S. Barcikowski, Chem. Rev., 117, 3990, (2017). [2] R. Streubel, S. Barcikowski and B. Gökce, Opt. Lett., 41, 1486, (2016). [3] C.-Y. Shih, R. Streubel, J. Heberle, A. Letzel, M. V. Shugaev, C. Wu, M. Schmidt, B. Gökce, S. Barcikowski, L. V. Zhigilei, Nanoscale 10, 6900 (2018). [4] C. Doñate-Buendía, F. Frömel, M. B. Wilms, R. Streubel, J. Tenkamp, T. Hupfeld, M. Nachev, E. Gökce, A. Weisheit, S. Barcikowski, F. Walther, J. H. Schleifenbaum, B. Gökce, Materials & Design 154, 360 (2018).
Posters-Tuesday
icon_mobile_dropdown
Strain sensing using electrically conductive structures composed of β-SiC fabricated by femtosecond laser direct modification of PDMS
By femtosecond-laser-based modification of polydimethylsiloxane (PDMS), we fabricated an electrically conductive structure composed of β-silicon carbide (β-SiC) on a PDMS thin film for strain sensing. With an increase in applied strain, i.e. smaller bend radii of the conductive structures, the conductivity of the structure decreased significantly. The result indicates that the resistance of the conductive structure has high sensitivity to strain, leading to potential applications such as real-time monitoring of human motion.
Development of soft x-ray laser irradiation beamline for ablation and damage study
Masahiko Ishino, Thanh-Hung Dinh, Noboru Hasegawa, et al.
The interactions of short pulse lasers with matter are interesting subjects not only in applications such as surface fabrication but also in physical phenomena for study. Optical short pulse lasers have abilities to occur the ablation phenomena accompanying the creation of high temperature, high pressure, and excited states of electrons. The picosecond soft x-ray laser (SXRL) pulse also has ability to occur the ablation. The SXRL having the wavelength of 13.9 nm and duration of 7 ps is one of attractive x-ray source for ablation study, because the ablation threshold obtained with the focused SXRL pulse is much smaller than those obtained with other lasers having longer durations and/or longer wavelengths. The low ablation threshold of a material for the SXRL beam has a possibility of efficient nanometer scale surface machining by an ablation. The ablation study will lead to the physical research and the direct surface machining. In addition, the wavelength of the SXRL is very close to the wavelength of the extreme ultraviolet (EUV) lithography system (λ = 13.5 nm). In the presentation, we report on development of the soft x-ray laser irradiation system. The irradiation system has an intensity monitor based on the Mo/Si multilayer beam splitter. This intensity monitor provides the irradiation energy onto sample surface. The SXRL has an ability to confirm the ablation threshold and to examine the damage property of EUV optical elements, which have the same specifications of those in the EUV lithography. And more, it is possible to evaluate the doses for sensitivity of resists.