Print PageEmail Page

    Past Event Overview


    SPIE Advanced Lithography 2008 has concluded.

    SPIE Advanced Lithography offers a forum for practitioners of micro- and nano-lithography to hear the latest about state-of-the-art applications and techniques relevant to their work. The numerous short courses offered are taught by people active in the field, recognized for both theoretical knowledge and practical experience. 

    Advanced Lithography 2008 had record-breaking session attendance, high levels of enthusiasm from participants, and over 700 papers presented.

    View Onsite news, day-by-day highlights from the event, including photos and video.

    Download Final Program (pdf)
    Download Exhibition Guide (pdf)

    Plenary Speakers:

    Mark Durcan, President and COO, Micron Technology
    "Ramifications of Lithography Choices on Memory Integration"

     

    Martin van den Brink, Executive Vice President Marketing and Technology, ASML
    "Holistic Lithography Optimization: Wafer Lithography, Computational Lithography and Layout"

     

    Andrew B Kahng, Professor of Computer Science and Electrical Engineering, University of California, San Diego
    "Lithography and Design in Partnership: A New Roadmap"


    Program Overview:

    Conferences from 24-29 February:

     
    • Emerging Lithography Technologies
     
    • Metrology, Inspection, and Process Control
     
    • Resist Materials and Processing Technology
     
    • Optical Microlithography
     
    • Design for Manufacturability through Design-Process Integration

    Courses from 24-29 February:

     
    • Introduction to Microlithography: Theory, Materials, and Processing
     
    • Nano-Scale Patterning with Imprint Lithography
     
    • Practical Photoresist Processing
     
    • Lithography Integration for Semiconductor Back-End-Of-The-Line (BEOL)
     
    • And more

    Exhibition from 26-27 February:

     
    • Lithography: immersion, double patterning, e-beam, EUV, optical/laser, RET
     
    • Metrology, inspection, OPC, and process control
     
    • Electronic imaging components, equipment, and systems
     
    • Resist materials and processing
     
    • Nano-imprint
     
    • Design for Manufacturability/DPI
     
    • IC and chip fabrication
     
    • And more






    Roxann L. Engelstad
    Univ. of Wisconsin - Madison
    2008 Symposium Chair






    Christopher J. Progler
    Photronics Inc.
    2008 Symposium Co-Chair



    Executive Committee

    Robert D. Allen, IBM Almaden Research Ctr.
    John A. Allgair, SEMATECH, Inc. and Freescale Semiconductors
    Mircea Dusa, ASML US, Inc.
    Roxann L. Engelstad, Univ. of Wisconsin/Madison
    Clifford L. Henderson, Georgia Institute of Technology
    Bruno La Fontaine, Advanced Micro Devices, Inc.
    Harry J. Levinson, Advanced Micro Devices, Inc.
    Christopher J. Progler, Photronics, Inc.
    Christopher J. Raymond, Nanometrics, Inc.
    Michael L. Rieger, Synopsys, Inc.
    Franklin M. Schellenberg, Mentor Graphics Corp.
    Vivek K. Singh, Intel Corp.



    Advisory Committee

    Robert D. Allen,
    IBM Almaden Research Ctr.
    William H. Arnold, ASML Netherlands B.V.
    Timothy A. Brunner, IBM Thomas J. Watson Research Ctr.
    Ralph R. Dammel, AZ Electronic Materials USA Corp.
    Roderick R. Kunz, MIT Lincoln Lab.
    Harry J. Levinson, Advanced Micro Devices, Inc.
    Burn Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)
    Chris A. Mack, KLA-Tencor Corp.
    Victor Pol, Freescale Semiconductor, Inc.
    Michael T. Postek, National Institute of Standards and Technology
    Luc Van den Hove, IMEC (Belgium)
    C. Grant Willson, The Univ. of Texas at Austin
    Anthony Yen, Cymer, Inc.


    Announcements from Advanced Lithography 2008

    Fritz Zernike and the Advances in Optical Microlithography
    The 5th Frits Zernike Award for Microlithography is given annually for outstanding accomplishments in microlithographic technology, especially those furthering the development of semiconductor lithographic imaging solutions.


    The Microlithography Award is sponsored by ASML and Cymer.

    ________________________________

    The Diana Nyyssonen Memorial Award for Best Paper of 2007 for the conference Metrology, Inspection, and Process Control for Microlithography

    Awarded to Mathew Sendelbach, Javier Ayala, IBM Microelectronic Div.; Pedro H. Herrera, KLA-Tencor Corp.

    For paper:6518-75-Predicting electrical measurements by applying scatterometry to complex spacer structures

    Presented byJohn A. Allgair, 2008 Conference Chair

    ______________________________

    The 2008 Best Student Paper Award for the Optical Microlithography

    The winner will be announced on Friday during the Optical Microlithography Conference 6924.

    This award was made possible by the generous sponsorship from

    _____________________________________

    The 2007 C. Grant Willson Best Paper Award for the Advances in Resist Materials and Processing Technology conference

    Awarded toDaniel P. Sanders, Linda K. Sunberg, Phillip J. Brock,  Richard A. DiPietro, Hoa D. Troung, Robert D. Allen, IBM Almaden Research Ctr.; 

    For paper: Fluoro-alcohol materials with tailored interfacial properties for immersion lithography lithography [6519-3]

    Presented by:Clifford L. Henderson, 2008 Conference Chair


    This award was made possible by the generous sponsorship from